The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Itanium with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2001 (18) 2002 (23) 2003 (34) 2004 (50) 2005 (29) 2006 (38) 2007 (15) 2008 (17) 2009-2011 (15) 2012-2018 (3)
Publication types (Num. hits)
article(46) inproceedings(194) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 216 occurrences of 168 keywords

Results
Found 242 publication records. Showing 242 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Howard Chen 0002, Jiwei Lu, Wei-Chung Hsu, Pen-Chung Yew Continuous Adaptive Object-Code Re-optimization Framework. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Qingda Lu, Jiesheng Wu, Dhabaleswar K. Panda 0001, P. Sadayappan Applying MPI Derived Datatypes to the NAS Benchmarks: A Case Study. Search on Bibsonomy ICPP Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Kristof Beyls, Erik H. D'Hollander Platform-Independent Cache Optimization by Pinpointing Low-Locality Reuse. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Miroslav N. Velev Efficient formal verification of pipelined processors with instruction queues. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF logic of equality, positive equality, decomposition, SAT
12Yung-Chang Chiu, Ce-Kuen Shieh, Jing-Xin Wang, Alvin Wen-Yu Su, Tyng-Yeu Liang A Real Time MPEG-4 Parallel Encoder on Software Distributed Shared Memory Systems. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ghassan Chehaibar Integrating Formal Verification with Mur ? of Distributed Cache Coherence Protocols in FAME Multiprocessor System Design. Search on Bibsonomy FORTE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Oscar R. Hernandez, Chunhua Liao, Barbara M. Chapman Dragon: A Static and Dynamic Tool for OpenMP. Search on Bibsonomy WOMPAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Christophe Lemuet, William Jalby, Sid Ahmed Ali Touati Improving Load/Store Queues Usage in Scientific Computing. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Bryan Roger Buck, Jeffrey K. Hollingsworth Data Centric Cache Measurement on the Intel ltanium 2 Processor. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Bill Greene Agile Methods Applied to Embedded Firmware Development. Search on Bibsonomy Agile Development Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rodric M. Rabbah, Hariharan Sandanagobalane, Mongkol Ekpanyapong, Weng-Fai Wong Compiler orchestrated prefetching via speculation and predication. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, speculation, precomputation, predicated execution
12John Harrison 0001 Formal Verification of Square Root Algorithms. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF formal verification, floating-point arithmetic, automated theorem proving
12Rodric M. Rabbah, Krishna V. Palem Data remapping for design space optimization of embedded memory systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data remapping, embedded systems, caches, memory hierarchy, Design space exploration, compiler optimization, memory subsystem
12Dong-yuan Chen, Lixia Liu, Chen Fu, Shuxin Yang, Chengyong Wu, Roy Dz-Ching Ju Efficient Resource Management during Instruction Scheduling for the EPIC Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux, Intel
12Spyridon Triantafyllis, Manish Vachharajani, Neil Vachharajani, David I. August Compiler Optimization-Space Exploration. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Howard Chen 0002, Wei-Chung Hsu, Dong-yuan Chen Dynamic Trace Selection Using Performance Monitoring Hardware Sampling. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani Integrated Prepass Scheduling for a Java Just-In-Time Compiler on the IA-64 Architecture. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yang Liu, Zhaoqing Zhang, Ruliang Qiao, Roy Dz-Ching Ju A Region-Based Compilation Infrastructure. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Single-Entry-Multiple-Exit (SEME) Region, Multiple-Entry-Multiple-Exit (MEME) Region and Compiler Optimization, Region, Interval
12Won So, Alexander G. Dean Procedure Cloning and Integration for Converting Parallelism from Coarse to Fine Grain. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Youfeng Wu, Li-Ling Chen, Roy Ju, Jesse Fang Performance potentials of compiler-directed data speculation. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Tor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González 0001, Per Hammarlund, Hong Wang 0003, John Paul Shen A framework for modeling and optimization of prescient instruction prefetch. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, multithreading, analytical modeling, path expressions, helper threads, instruction prefetch
12Makoto Kudo, Hisayasu Kuroda, Yasumasa Kanada Parallel Blocked Sparse Matrix-Vector Multiplication with Dynamic Parameter Selection Method. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, Ziang Hu Compiler-Assisted Cache Replacement: Problem Formulation and Performance Evaluation. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Cristian Coarfa, Yuri Dotsenko, Jason Eckhardt, John M. Mellor-Crummey Co-array Fortran Performance and Potential: An NPB Experimental Study. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Noah Snavely, Saumya K. Debray, Gregory R. Andrews Unspeculation. Search on Bibsonomy ASE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Daisuke Takahashi, Mitsuhisa Sato, Taisuke Boku An OpenMP Implementation of Parallel FFT and Its Performance on IA-64 Processors. Search on Bibsonomy WOMPAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rahul Bhatt, Dave LaFollette, Arjun Kapur The Fallacy of Spec-Based Design. Search on Bibsonomy SEFM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Kazuaki Ishizaki, Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani Eliminating Exception Constraints of Java Programs for IA-64. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Prosenjit Chatterjee, Hemanthkumar Sivaraj, Ganesh Gopalakrishnan Shared Memory Consistency Protocol Verification Against Weak Memory Models: Refinement via Model-Checking. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Youfeng Wu, Mauricio J. Serrano, Rakesh Krishnaiyer, Wei Li 0015, Jesse Fang Value-Profile Guided Stride Prefetching for Irregular Code. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Kristof Beyls, Erik H. D'Hollander Reuse Distance-Based Cache Hint Selection. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization
12Prosenjit Chatterjee, Ganesh Gopalakrishnan A Specification and Verification Framework for Developing Weak Shared Memory Consistency Protocols. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Praveen Parvathala, Kaila Maneparambil, William Lindsay FRITS - A Microprocessor Functional BIST Method. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12David M. Harris, Sam Naffziger Statistical clock skew modeling with data delay variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Gautam Doshi, Rakesh Krishnaiyer, Kalyan Muthukumar Optimizing Software Data Prefetches with Rotating Registers. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Perry H. Wang, Hong Wang 0003, Ralph-Michael Kling, Kalpana Ramakrishnan, John Paul Shen Register Renaming and Scheduling for Dynamic Execution of Predicated Code. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kanna Shimizu, David L. Dill, Ching-Tsun Chou A Specification Methodology by a Collection of Compact Properties as Applied to the Intel® ItaniumTM Processor Bus Protocol. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Jamison D. Collins, Hong Wang 0003, Dean M. Tullsen, Christopher J. Hughes, Yong-Fong Lee, Daniel M. Lavery, John Paul Shen Speculative precomputation: long-range prefetching of delinquent loads. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
12Eric Dahlen, Jennifer Gustin, Susan Meredith, Doug Moran The 82460GX Sever/Workstation Chip Set. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Monica S. Lam Software pipelining: an effective scheduling technique for VLIW machines (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #242 of 242 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license