The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MTV"( http://dblp.L3S.de/Venues/MTV )

URL (DBLP): http://dblp.uni-trier.de/db/conf/mtv

Publication years (Num. hits)
2003 (18) 2004 (21) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009 (19) 2010-2011 (27) 2012 (15) 2013 (22) 2014 (22) 2015-2016 (30) 2017 (15) 2018 (18) 2019 (15)
Publication types (Num. hits)
inproceedings(285) proceedings(17)
Venues (Conferences, Journals, ...)
MTV(302)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18 occurrences of 18 keywords

Results
Found 302 publication records. Showing 302 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Chia-Ling Lynn Chang, Charles H.-P. Wen Mining Unreachable Cross-Timeframe State-Pairs for Bounded Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Subir K. Roy Top Level SOC Interconnectivity Verification Using Formal Techniques. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Marc Herbstritt, Vanessa Struve, Bernd Becker 0001 Application of Lifting in Partial Design Analysis. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Selma Ikiz, Alper Sen 0001 Runtime Verification of k-Mutual Exclusion for SoCs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Dam Sunwoo, Hassan Al-Sukhni, Jim Holt, Derek Chiou Early Models for System-Level Power Estimation. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Li-C. Wang, Jayanta Bhadra (eds.) Eighth International Workshop on Microprocessor Test and Verification (MTV 2007), Common Challenges and Solutions, 5-6 December 2007, Austin, Texas, USA Search on Bibsonomy MTV The full citation details ... 2007 DBLP  BibTeX  RDF
1Tommy Bojan, Igor Frumkin, Robert Mauri Intel First Ever Converged Core Functional Validation Experience: Methodologies, Challenges, Results and Learning. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Wang-Dauh Tseng, Lung-Jen Lee Reduction of Power Dissipation during Scan Testing by Test Vector Ordering. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mark H. Nodine Automatic Testbench Generation for Rearchitected Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Andrew DeOrio, Adam Bauserman, Valeria Bertacco Chico: An On-chip Hardware Checker for Pipeline Control Logic. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Scott Little, Alper Sen 0001, Chris J. Myers Application of Automated Model Generation Techniques to Analog/Mixed-Signal Circuits. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Franco Fummi, Cristina Marconcini, Graziano Pravadelli, Ian G. Harris A CLP-Based Functional ATPG for Extended FSMs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sasidhar Sunkari, Supratik Chakraborty, Vivekananda M. Vedula, Kailasnath Maneparambil A Scalable Symbolic Simulator for Verilog RTL. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Wei Qin, Asa Ben-Tzur, Boris Gutkovich An ADL for Functional Specification of IA32. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Gaurav Singh 0006, Sandeep K. Shukla Model Checking Bluespec Specified Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sandip Ray, Warren A. Hunt Jr. Mechanized Certification of Secure Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1W. Di Palma, Danilo Ravotto, Edgar E. Sánchez, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero Automotive Microcontroller End-of-Line Test via Software-Based Methodologies. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Danilo Ravotto, Edgar E. Sánchez, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero On Automatic Test Block Generation for Peripheral Testing in SoCs via Dynamic FSMs Extraction. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sumit Ahuja, Deepak Mathaikutty, Sandeep K. Shukla, Ajit Dingankar Assertion-Based Modal Power Estimation. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hyun Sung Kim, D. M. H. Walker Statistical Static Timing Analysis Considering the Impact of Power Supply Noise in {VLSI} Circuits. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Acknowledgement. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hiren D. Patel, Sandeep K. Shukla Deep vs. Shallow, Kernel vs. Language--What is Better for Heterogeneous Modeling in {SystemC}?. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jorge Campos, Hussain Al-Asaad Circuit Profiling Mechanisms for High-Level {ATPG}. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Noah Bamford, Rekha Bangalore, Eric Chapman, Hector Chavez, Rajeev Dasari, Yinfang Lin, Edgar Jimenez Challenges in System on Chip Verification. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Preface. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Workshop Organizing Committee. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hassan Al-Sukhni, David Lindberg, James Holt, Michele Reese Workload Slicing for Characterizing New Features in High Performance Microprocessors. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1József Sziray Test Calculation for Logic and Delay Faults in Digital Circuits. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Test-pattern calculation, logic faults, CMOS transistor structures, functional testing, delay faults, multi-valued logic
1Marc Herbstritt, Bernd Becker 0001, Christoph Scholl 0001 Advanced SAT-Techniques for Bounded Model Checking of Blackbox Designs. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jianmin Zhang, Ming Yan 0003, Sikun Li Debug Support for Scalable System-on-Chip. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vijay Gangaram, Deepa Bhan, James K. Caldwell Functional Test Selection for High Volume Manufacturing. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Functional Test Sequences, Fault Simulation Acceleration, Untestable Fault Identification, Design Validation, Test Sequence Compaction
1Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu Diagnosing Silicon Failures Based on Functional Test Patterns. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault diagnosis, Silicon debug, design for debug
1Heon-Mo Koo, Prabhat Mishra 0001, Jayanta Bhadra, Magdy S. Abadir Directed Micro-architectural Test Generation for an Industrial Processor: A Case Study. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Letícia Maria Veiras Bolzani, Alberto Manzone, Massimo Osella, Massimo Violante, Matteo Sonza Reorda Software-Based On-Line Test of Communication Peripherals in Processor-Based Systems for Automotive Applications. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Li-C. Wang, Jayanta Bhadra (eds.) Seventh International Workshop on Microprocessor Test and Verification (MTV 2006), Common Challenges and Solutions, 4-5 December 2006, Austin, Texas, USA Search on Bibsonomy MTV The full citation details ... 2006 DBLP  BibTeX  RDF
1Sean Safarpour, Andreas G. Veneris Abstraction and Refinement Techniques in Automated Design Debugging. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tamarah Arons, Elad Elster, Terry Murphy, Eli Singerman Embedded Software Validation: Applying Formal Techniques for Coverage and Test Generation. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Formal methods, Test generation, Software verification and validation
1 Program Committee. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Li-C. Wang (eds.) Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), Common Challenges and Solutions, 3-4 November 2005, Austin, Texas, USA Search on Bibsonomy MTV The full citation details ... 2005 DBLP  BibTeX  RDF
1Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli A Pseudo-Deterministic Functional ATPG based on EFSM Traversing. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Tobias Schubert 0001, Matthew D. T. Lewis, Bernd Becker 0001 PaMira - A Parallel SAT Solver with Knowledge Sharing. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Ernesto Sánchez 0001, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero Diagnosing Faulty Functional Units in Processors by Using Automatically Generated Test Sets. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Acknowledgement. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Andrea Fedeli, Franco Fummi On PSL Properties Re-use in SoC Design Flow Based on Transaction Level Modeling. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1John Mark Nolen, Rabi N. Mahapatra A TDM Test Scheduling Method for Network-on-Chip Systems. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Daniel Große, Ulrich Kühne, Rolf Drechsler HW/SW Co-Verification of a RISC CPU using Bounded Model Checking. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting an I-IP for both Test and Silicon Debug of Microprocessor Cores. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Brian Kahne, Aseem Gupta, Peter Wilson, Nikil D. Dutt An Introduction to the Plasma Language. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Bin Xue, D. M. H. Walker Is IDDQ Test of Microprocessors Feasible? Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Workshop Organizing Committee. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Preface. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1David Berner, Hiren D. Patel, Deepak Mathaikutty, Sandeep K. Shukla Automated Extraction of Structural Information from SystemC-based IP for Validation. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jayanta Bhadra, Magdy S. Abadir, David Burgess, Ekaterina Trofimova Automatic Generation of High Performance Embedded Memory Models for PowerPC Microprocessors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Program Committee. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Wei Qin, Sharad Malik A Study of Architecture Description Languages from a Model-based Perspective. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Marc Herbstritt, Bernd Becker 0001 On SAT-based Bounded Invariant Checking of Blackbox Designs. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Soohong P. Kim Pre-Silicon Validation of IPF Memory Ordering for Multi-Core Processors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jennifer Dworak An Investigation of Excitation Balance and Additional Mandatory Conditions for the Diagnosis of Fortuitously Detected Defects. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Moayad Fahim Ali, Sean Safarpour, Andreas G. Veneris, Magdy S. Abadir, Rolf Drechsler Post-Verification Debugging of Hierarchical Designs. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jorge Campos, Hussain Al-Asaad Search-Space Optimizations for High-Level ATPG. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Charles H.-P. Wen, Li-C. Wang Simulation Data Mining for Functional Test Pattern Justification. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Prabhat Mishra 0001, Heon-Mo Koo, Zhuo Huang Language-driven Validation of Pipelined Processors using Satisfiability Solvers. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Brian Kahne, Magdy S. Abadir Retiming Verification Using Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), Common Challenges and Solutions, 08-10 September 2004, Austin, Texas, USA Search on Bibsonomy MTV The full citation details ... 2004 DBLP  BibTeX  RDF
1Michele Borgatti, Andrea Fedeli, Umberto Rossi, Jean-Luc Lambert, Imed Moussa, Franco Fummi, Cristina Marconcini, Graziano Pravadelli A Verification Methodology for Reconfigurable Systems. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Xiang Lu, Zhuo Li 0001, Wangqi Qiu, D. M. H. Walker, Weiping Shi A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1W. Lindsay, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero Automatic Test Programs Generation Driven by Internal Performance Counters. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Xiuli Sun, Jinzhao Wu, Xiaoyu Song, Mila E. Majster-Cederbaum Formal Specification of an Asynchronous Processor via Action Refinement. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelines, microprocessors, asynchronous circuits, Action refinement
1Tobias Schubert 0001, Bernd Becker 0001 PICHAFF2 - A Hierarchical Parallel SAT Solver. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Moayad Fahim Ali, Andreas G. Veneris, Sean Safarpour, Magdy S. Abadir, Rolf Drechsler, Alexander Smith 0001 Debugging Sequential Circuits Using Boolean Satisfiability. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1M. Moiz Khan, Spyros Tragoudas, Magdy S. Abadir, Jiang Brandon Liu Identification of Gates for Covering all Critical Paths. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Arkan Abdulrahman, Spyros Tragoudas Compact ATPG for Concurrent SOC Testing. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 Program Committee. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner Extreme Formal Modeling (XFM) for Hardware Models. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Prabhat Mishra 0001, Nikil D. Dutt, Yaron Kashai Functional Verification of Pipelined Processors: A Case Study. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 Workshop Organizing Committee. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Anshuman S. Nadkarni, Tom Kenville TiGeR, the Transmeta Instruction GEneratoR: A Production Based, Pseudo Random Instruction x86 Test Generator. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 Acknowledgement. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 Preface. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Jing Zeng, Magdy S. Abadir, G. Vandling, Li-C. Wang, S. Karako, Jacob A. Abraham On Correlating Structural Tests with Functional Tests for Speed Binning of High Performance Design. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Mark Litterick, Joachim Geishauser Robust Vera Coding Techniques for Gate-Level and Tester-Compliant SoC Verification Environments. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Eyal Bin, Laurent Fournier Micro-Architecture Verification for Microprocessors. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Maurizio Rebaudengo, Matteo Sonza Reorda Using Infrastructure IPs to Support SW-Based Self-Test of Processor Cores. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Marc Herbstritt, Thomas Kmieciak, Bernd Becker 0001 On the Impact of Structural Circuit Partitioning on SAT-Based Combinational Circuit Verification. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Jayanta Bhadra, Narayanan Krishnamurthy, Magdy S. Abadir A Methodology for Validating Manufacturing Test Vector Suites for Custom Designed Scan-Based Circuits. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Prabhat Mishra 0001, Nikil D. Dutt A Methodology for Validation of Microprocessors using Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Alexander Klaiber, Sinclair Chau Automatic Detection of Logic Bugs in Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1F. Hunsinger, Sebastien Francois, Ahmed Amine Jerraya Definition of a systematic method for the generation of software test programs allowing the functional verification of System On Chip (SoC). Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Mrinal Bose, Mark H. Nodine, William R. Jurasz Jr., Vlad Zavadsky, Arvind Chodavadia, Lincoln R. Nunes Modeling IP Responses in Testcase Generation for Systems-on-Chip Verification. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Ohad Shacham, Emmanuel Zarpas Tuning the VSIDS Decision Heuristic for Bounded Model Checking. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Wangqi Qiu, D. M. H. Walker Testing the Path Delay Faults of ISCAS85 Circuit c6288. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1V. V. Iyer Comparison of Verification Methodologies for Datapath Testing. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Allon Adir, Roy Emek, Yoav Katz, Anatoly Koyfman DeepTrans - A Model-based Approach to Functional Verification of Address Translation Mechanisms. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1 Fourth International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions (MTV 2003), May 29-30, 2003, Hyatt Town Lake Hotel, Austin, Texas, USA Search on Bibsonomy MTV The full citation details ... 2003 DBLP  BibTeX  RDF
1Mahesh A. Iyer A Robust and Scalable Technique for the Constraints Solving Problem in High-Level Verification. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Matthew W. Heath, Ian G. Harris A Deterministic Globally Asynchronous Locally Synchronousy Microprocessor Architecture. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Alessandro Fin, Franco Fummi, Massimo Poncino, Graziano Pravadelli A SystemC-based Framework for Properties Incompleteness Evaluation. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Andreas G. Veneris Fault Diagnosis and Logic Debugging Using Boolean Satisfiability. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Elham Safi, Zohreh Karimi, Maghsoud Abbaspour, Zainalabedin Navabi Utilizing Various ADL Facets for Instruction Level CPU Test. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Yu-Shen Yang, Jiang Brandon Liu, Paul J. Thadikaran, Andreas G. Veneris Extraction Error Analysis, Diagnosis and Correction in Custom-Made High-Performance Designs. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 302 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license