The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SDRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-1999 (15) 2000-2001 (21) 2002-2003 (21) 2004-2005 (31) 2006-2007 (39) 2008 (19) 2009-2010 (15) 2011-2012 (21) 2013-2015 (19) 2016-2018 (19) 2019-2021 (15) 2022-2024 (10)
Publication types (Num. hits)
article(72) incollection(1) inproceedings(171) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 127 occurrences of 85 keywords

Results
Found 245 publication records. Showing 245 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Daniel Llorente, Kimon Karras, Thomas Wild, Andreas Herkersdorf Buffer allocation for advanced packet segmentation in Network Processors. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Wei Chen 0009, Hongyi Lu, Li Shen 0007, Zhiying Wang 0003, Nong Xiao DBTIM: An Advanced Hardware Assisted Full Virtualization Architecture. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ankush Varma, Bruce L. Jacob, Eric Debes, Igor Kozintsev, Paul Klein Accurate and fast system-level power modeling: An XScale-based case study. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, SystemC, Power modeling
14Ke Ning, David R. Kaeli External memory page remapping for embedded multimedia systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory coloring, memory page remapping, embedded systems, memory controllers
14Nathan Woods Integrating FPGAs in high-performance computing: the architecture and implementation perspective. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compute acceleration, high-performance computinghigh-performance computing, reconfigurable computing, co-processor
14Simone Medardoni, Martino Ruggiero, Davide Bertozzi, Luca Benini, Giovanni Strano, Carlo Pistritto Interactive presentation: Capturing the interaction of the communication, memory and I/O subsystems in memory-centric industrial MPSoC platforms. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Huan-Kai Peng, Chun-Hsin Lee, Jian-Wen Chen, Tzu-Jen Lo, Yung-Hung Chang, Sheng-Tsung Hsu, Yuan-Chun Lin, Ping Chao, Wei-Cheng Hung, Kai-Yuan Jan A Highly Integrated 8mW H.264/AVC Main Profile Real-time CIF Video Decoder on a 16MHz SoC Platform. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yuriko Ishitobi, Tohru Ishihara, Hiroto Yasuura Code Placement for Reducing the Energy Consumption of Embedded Processors with Scratchpad and Cache Memories. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Puranjoy Bhattacharya Tutorial IND1A: NeXperia - A Versatile Configurable Platform for Home and Mobile Computing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Amilcar do Carmo Lucas, Sven Heithecker, Rolf Ernst FlexWAFE - A High-end Real-Time Stream Processing Library for FPGAs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Tomotaka Miyashiro, Akira Kitamura, Hironori Nakajo, Noboru Tanabe DIMMnet-2: A Reconfigurable Board Connected Into a Memory Slot. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Tianzhou Chen, Wei Hu 0001, Yi Lian Power-Efficient Microkernel of Embedded Operating System on Chip. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power-efficient, microkernel, embedded operating system
14Donghoon Lee, Tohru Ishihara, Masanori Muroyama, Hiroto Yasuura, Farzan Fallah An Energy Characterization Framework for Software-Based Embedded Systems. Search on Bibsonomy ESTIMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Tianzhou Chen, Hu Wei, Lian Yi Microkernel of Embedded Operating System in SRAM. Search on Bibsonomy IWNAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Tim Barrett, Sumit D. Mediratta, Taek-Jun Kwon, Ravinder Singh, Sachit Chandra, Jeff Sondeen, Jeffrey T. Draper A double-data rate (DDR) processing-in-memory (PIM) device with wideword floating-point capability. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14D. Scott McCaulay, Matthew R. Link, George W. Turner, David Y. Hancock, Maria Morris, Craig A. Stewart Poster reception - Powerful new research computing system available via the TeraGrid. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jyh-Shin Pan, Hao-Cheng Chen, Bing-Yu Hsieh, Hong-Ching Chen, Roger Lee, Ching-Ho Chu, Yuan-Chin Liu, Chuan Liu, Lily Huang, Chang-Long Wu, Meng-Hsueh Lin, Chun-Yiu Lin, Shang-Nien Tsai, Jenn-Ning Yang, Chang-Po Ma, Yung Cheng, Shu-Hung Chou, Hsiu-Chen Peng, Peng-Chuan Huang, Benjamin Chiu, Alex Ho A CMOS SoC for 56/18/16 CD/DVD-dual/RAM applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DVD-RAM, SATA, WSR, CMOS, optical storage
14Anand Ramachandran, Margarida F. Jacome Xtream-fit: an energy-delay efficient data memory subsystem for embedded media processing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Artur Burchard, Ewa Hekstra-Nowacka, Atul Chauhan A Real-Time Streaming Memory Controller. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Zhichun Zhu, Zhao Zhang 0010 A Performance Comparison of DRAM Memory System Optimizations for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Paul Willmann, Hyong-youb Kim, Scott Rixner, Vijay S. Pai An Efficient Programmable 10 Gigabit Ethernet Network Interface Card. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Amilcar do Carmo Lucas, Rolf Ernst An Image Processor for Digital Film. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF weak-programing, stream-based architechture, digital .lm, recon.gurable, FPGA
14Dongbing Pu, Changrui Du, Zhezhou Yu, Chunguang Zhou Design of Face Recognition Door Manager System Based on DSP. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Akira Kitamura, Yasuo Miyabe, Tetsu Izawa, Tomotaka Miyashiro, Konosuke Watanabe, Tomohiro Otsuka, Hideharu Amano, Yoshihiro Hamada, Noboru Tanabe, Hironori Nakajo Evaluation of Network Interface Controller on DIMMnet-2 Prototype Board. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Paul Marchal, Francky Catthoor, Davide Bruni, Luca Benini, José Ignacio Gómez, Luis Piñuel Integrated Task Scheduling and Data Assignment for SDRAMs in Dynamic Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Claude Tadonki, José D. P. Rolim An Analytical Model for Energy Minimization. Search on Bibsonomy WEA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Min Li, Xiaobo Wu, Menglian Zhao, Hui Wang, Xiaolang Yan Heterogeneous Grid Computing for Energy Constrained Mobile Device. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Peter A. Boyle, Dong Chen 0005, Norman H. Christ, Michael A. Clark, Saul D. Cohen, Zhihua Dong, Alan Gara, Bálint Joó, Chulwoo Jung, Ludmila A. Levkova, Xiaodong Liao, Guofeng Liu, Robert D. Mawhinney, Shigemi Ohta, Konstantin Petrov, Tilo Wettig, Azusa Yamaguchi, Calin Cristian QCDOC: A 10 Teraflops Computer for Tightly-Coupled Calculations. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jörg E. Vollrath Testing and Characterization of SDRAMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Filip Traugott, Kim Andersson, Andreas Löfgren, Lennart Lindh Successful Prototyping of a Real-Time Hardware Based Terrain Navigation Correlator Algorithm. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Betty Prince Application Specific DRAMs Today. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Hyung Gyu Lee, Sungyuep Nam, Naehyuck Chang Cycle-accurate Energy Measurement and High-Level Energy Characterization of FPGAs. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Michael S. Warren, Chris L. Fryer, M. Patrick Goda The Space Simulator: Modeling the Universe from Supernovae to Cosmology. Search on Bibsonomy SC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF price/performance, N-body, cluster, Beowulf, astrophysics
14Anand Ramachandran, Margarida F. Jacome Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF streaming memory, low power, configurability, design space exploration, media processing, scratch-pad, energy delay product
14Mario Porrmann, Ulf Witkowski, Heiko Kalte, Ulrich Rückert 0001 Dynamically Reconfigurable Hardware - A New Perspective for Neural Network Implementations. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
14Mill-Jer Wang, R.-L. Jiang, J.-W. Hsia, Chih-Hu Wang, Jwu E. Chen Guardband Determination for the Detection of Off-State and Junction Leakages in DRAM Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Jing-Reng Huang, Chee-Kian Ong, Kwang-Ting Cheng, Cheng-Wen Wu An FPGA-based re-configurable functional tester for memory chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF re-configurable tester, memory chips, re-configurable hardware platform, prototype tester, compiler, integrated circuit testing, reconfigurable architectures, integrated memory circuits
14Stephen J. Bellis, William P. Marnane A CORDIC Arctangent FPGA Implementation for a High-Speed 3D-Camera System. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Lars Friebe, Yoshikazu Yabe, Masato Motomura A Study of Channeled DRAM Memory Architectures. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Chuang Cheng, Chih-Tsun Huang, Jing-Reng Huang, Cheng-Wen Wu, Chen-Jong Wey, Ming-Chang Tsai BRAINS: A BIST Compiler for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Binu K. Mathew, Sally A. McKee, John B. Carter, Al Davis Algorithmic foundations for a parallel vector access memory system. Search on Bibsonomy SPAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Daniel P. Van der Velde, Ad J. van de Goor Designing a Memory Module Tester. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Jörg E. Vollrath Tutorial: Characterizing SDRAMS. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Jim Anderson Integrated probe card/interface solutions for specific test applications. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #245 of 245 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license