The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SMT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1998 (18) 1999-2000 (15) 2001 (15) 2002 (19) 2003 (21) 2004 (51) 2005 (67) 2006 (79) 2007 (99) 2008 (112) 2009 (144) 2010 (101) 2011 (99) 2012 (145) 2013 (102) 2014 (143) 2015 (126) 2016 (153) 2017 (116) 2018 (86) 2019 (91) 2020 (98) 2021 (101) 2022 (91) 2023 (100) 2024 (17)
Publication types (Num. hits)
article(453) incollection(6) inproceedings(1704) phdthesis(36) proceedings(10)
Venues (Conferences, Journals, ...)
CoRR(146) SMT(71) EAMT(40) FMCAD(38) CAV(33) CADE(28) SMT@IJCAR(26) IPDPS(21) AMTA(20) IWSLT(20) SAT(20) CAV (2)(19) HPCA(19) MTSummit(18) DATE(16) ATVA(15) More (+10 of total 752)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 542 occurrences of 335 keywords

Results
Found 2210 publication records. Showing 2209 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Sava Krstic, Albert Oliveras Preface. Search on Bibsonomy SMT@CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Michal Moskal, Jakub Lopuszanski, Joseph R. Kiniry E-matching for Fun and Profit. Search on Bibsonomy SMT@CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Leonardo Mendonça de Moura, Nikolaj S. Bjørner Model-based Theory Combination. Search on Bibsonomy SMT@CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Mirron Rozanov, Ofer Strichman Generating Minimum Transitivity Constraints in P-time for Deciding Equality Logic. Search on Bibsonomy SMT@CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Sylvain Conchon, Evelyne Contejean, Johannes Kanig, Stéphane Lescuyer CC(X): Semantic Combination of Congruence Closure with Solvable Theories. Search on Bibsonomy SMT@CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Susmit Jha, Rhishikesh Limaye, Sanjit A. Seshia Beaver: Engineering an Efficient SMT Solver for Bit-Vector Arithmetic. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Armin Biere SAT, SMT and Applications. Search on Bibsonomy LPNMR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Robert Brummayer, Armin Biere Boolector: An Efficient SMT Solver for Bit-Vectors and Arrays. Search on Bibsonomy TACAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Alessandro Armando Building SMT-Based Software Model Checkers: An Experience Report. Search on Bibsonomy FroCoS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Jonathan A. Winter, David H. Albonesi Addressing thermal nonuniformity in SMT workloads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptive microarchitectures, dynamic voltage scaling, Simultaneous multithreading, dynamic thermal management, clustered microarchitectures
32Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
32Miquel Bofill, Robert Nieuwenhuis, Albert Oliveras, Enric Rodríguez-Carbonell, Albert Rubio The Barcelogic SMT Solver. Search on Bibsonomy CAV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Hongzhou Chen, Lingdi Ping, Xuezeng Pan, Kuijun Lu, Xiaoping Chen A Dissipative Resource Distribution policy for SMT processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Leonardo Mendonça de Moura, Nikolaj S. Bjørner Z3: An Efficient SMT Solver. Search on Bibsonomy TACAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Geoffrey M. Brown, Lee Pike Temporal Refinement Using SMT and Model Checking with an Application to Physical-Layer Protocols. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Zhibin Zhang, Li Guo 0001, Binxing Fang, Xiaojun Chen 0004 Parallelizing Protocol Processing on SMT Processor Efficiently: A FSM Decomposition Approach. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32David Walter, Scott Little, Chris J. Myers Bounded Model Checking of Analog and Mixed-Signal Circuits Using an SMT Solver. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Leonardo Mendonça de Moura, Nikolaj S. Bjørner Efficient E-Matching for SMT Solvers. Search on Bibsonomy CADE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Joseph J. Sharkey, Dmitry V. Ponomarev An L2-miss-driven early register deallocation for SMT processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register files, simultaneous multithreading
32Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT and Its Speculation Optimization. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Roberto Cavada, Alessandro Cimatti, Anders Franzén, Krishnamani Kalyanasundaram, Marco Roveri, R. K. Shyamasundar Computing Predicate Abstractions by Integrating BDDs and SMT Solvers. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Sonia López, Steve Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Dynamic Capacity-Speed Tradeoffs in SMT Processor Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Kenneth Roe The Heuristic Theorem Prover: Yet Another SMT Modulo Theorem Prover. Search on Bibsonomy CAV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Joseph J. Sharkey, Dmitry V. Ponomarev Efficient instruction schedulers for SMT processors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Hossein M. Sheini, Karem A. Sakallah SMT(CLU): a step toward scalability in system verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Pascal Fontaine, Jean-Yves Marion, Stephan Merz, Leonor Prensa Nieto, Alwen Fernanto Tiu Expressiveness + Automation + Soundness: Towards Combining SMT Solvers and Interactive Proof Assistants. Search on Bibsonomy TACAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Joseph J. Sharkey, Dmitry Ponomarev 0001 Balancing ILP and TLP in SMT Architectures through Out-of-Order Instruction Dispatch. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Caixia Sun, Hong-Wei Tang, Minxuan Zhang Controlling Performance of a Time-Criticial Thread in SMT Processors by Instruction Fetch Policy. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Clark W. Barrett, Leonardo Mendonça de Moura, Aaron Stump SMT-COMP: Satisfiability Modulo Theories Competition. Search on Bibsonomy CAV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Hua Yang, Gang Cui, Xiao-Zong Yang 2L-MuRR: A Compact Register Renaming Scheme for SMT Processors. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
32Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Implicit vs. Explicit Resource Allocation in SMT Processors. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Feasibility of QoS for SMT. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Joshua L. Kihm, Daniel A. Connors Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Joshua Redstone, Susan J. Eggers, Henry M. Levy Mini-Threads: Increasing TLP on Small-Scale SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Leandro G. Barajas, Ashish Kansal, Abhinav Saxena, Magnus Egerstedt, Alex Goldstein, Edward W. Kamen Modeling and Control of SMT Manufacturing Lines Using Hybrid Dynamic Systems. Search on Bibsonomy HSCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Chulho Shin, Seong-Won Lee, Jean-Luc Gaudiot Dynamic Scheduling Issues in SMT Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer Using SMT to Hide Context Switch Times of Large Real-Time Tasksets. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hardware scheduler, in-order multithreading, hard real-time, SMT, context switch
32Yongliang Ma, Tiejun Zhao Improving Chinese to English SMT with Multiple CWS Results. Search on Bibsonomy IALP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF feature blending, feature interpolation, SMT, Chinese word segmentation
29Alessandro Cimatti, Alberto Griggio, Roberto Sebastiani Interpolant Generation for UTVPI. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Hyondeuk Kim, Fabio Somenzi, HoonSang Jin Efficient Term-ITE Conversion for Satisfiability Modulo Theories. Search on Bibsonomy SAT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Leonardo Mendonça de Moura, Nikolaj S. Bjørner Satisfiability Modulo Theories: An Appetizer. Search on Bibsonomy SBMF The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Malay K. Ganai, Franjo Ivancic Efficient decision procedure for non-linear arithmetic constraints using CORDIC. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the performance limits of simultaneous multithreading for memory intensive applications. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative precomputation, Performance analysis, Instruction-level parallelism, Thread-level parallelism, Simultaneous multithreading, Software prefetching
29Peter Rounce, Alberto Ferreira de Souza Dynamic Instruction Scheduling in a Trace-based Multi-threaded Architecture. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simultaneous multi-threading, Wide issue architectures, VLIW, Dynamic instruction scheduling
29Adam Lopez Statistical machine translation. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Natural language processing, machine translation
29Tommi A. Junttila, Jori Dubrovin Encoding Queues in Satisfiability Modulo Theories Based Bounded Model Checking. Search on Bibsonomy LPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Kshitiz Malik, Mayank Agarwal, Vikram Dhar, Matthew I. Frank PaCo: Probability-based path confidence prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Martin Fränzle, Holger Hermanns, Tino Teige Stochastic Satisfiability Modulo Theory: A Novel Technique for the Analysis of Probabilistic Hybrid Systems. Search on Bibsonomy HSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Hong-Nhung Nguyen Thi, Dien Dinh A Syntactic-based Word Re-ordering for English-Vietnamese Statistical Machine Translation System. Search on Bibsonomy PRICAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF word re-ordering, syntactic-based word re-ordering rule, Statistical machine translation, parse tree
29Leonardo Mendonça de Moura, Nikolaj S. Bjørner Engineering DPLL(T) + Saturation. Search on Bibsonomy IJCAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Alessandro Cimatti, Alberto Griggio, Roberto Sebastiani Efficient Interpolant Generation in Satisfiability Modulo Theories. Search on Bibsonomy TACAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Germán Sanchis-Trilles, Maria-Teresa González, Francisco Casacuberta, Enrique Vidal 0001, Jorge Civera Introducing Additional Input Information into Interactive Machine Translation Systems. Search on Bibsonomy MLMI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interactive MT, Computer Assisted Translation
29Joseph J. Sharkey, Dmitry V. Ponomarev Exploiting Operand Availability for Efficient Simultaneous Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simultaneous multithreading, Issue queue
29Nitzan Peleg, Bilha Mendelson Detecting Change in Program Behavior for Adaptive Optimization. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Merge Logic for Clustered Multithreaded VLIW Processors. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Lin Xu, Xiaoguang Cao, Bufeng Zhang, Mu Li 0001 Comparing and Integrating Alignment Template and Standard Phrase-Based Statistical Machine Translation. Search on Bibsonomy CICLing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Kyueun Yi, Jean-Luc Gaudiot Architectural Support for Network Applications on Simultaneous MultiThreading Processors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Xing Fang, Dong Wang, Shuming Chen Exploiting Thread-Level Parallelism of Irregular LDPC Decoder with Simultaneous Multi-threading Technique. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Maria Paola Bonacina, Mnacho Echenim T-Decision by Decomposition. Search on Bibsonomy CADE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Cluster-level simultaneous multithreading for VLIW processors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Robert Nieuwenhuis, Albert Oliveras, Enric Rodríguez-Carbonell, Albert Rubio Challenges in Satisfiability Modulo Theories. Search on Bibsonomy RTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Seong-Won Lee, Jean-Luc Gaudiot Throttling-Based Resource Management in High Performance Multithreaded Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Resource management, power management, multithreading, resource utilization, throttling
29Robert Nieuwenhuis, Albert Oliveras, Cesare Tinelli Solving SAT and SAT Modulo Theories: From an abstract Davis--Putnam--Logemann--Loveland procedure to DPLL(T). Search on Bibsonomy J. ACM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SAT solvers, Satisfiability Modulo Theories
29Panagiotis Papadimitratos, Zygmunt J. Haas Secure data communication in mobile ad hoc networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Clark W. Barrett, Robert Nieuwenhuis, Albert Oliveras, Cesare Tinelli Splitting on Demand in SAT Modulo Theories. Search on Bibsonomy LPAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Daniele Paolo Scarpazza, Praveen Raghavan, David Novo, Francky Catthoor, Diederik Verkest Software Simultaneous Multi-Threading, a Technique to Exploit Task-Level Parallelism to Improve Instruction- and Data-Level Parallelism. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Thai Phuong Nguyen, Akira Shimazu A Syntactic Transformation Model for Statistical Machine Translation. Search on Bibsonomy ICCPOL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29In-Pyo Hong, Yong-Joo Lee, Yong-Surk Lee Next Generation Embedded Processor Architecture for Personal Information Devices. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Wessam Hassanein, Moustafa A. Hammad, Layali K. Rashid Characterizing the Performance of Data Management Systems on Hyper-Threaded Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Bin Wang, Chaojian Shi A Novel Split-and-Merge Technique for Error-Bounded Polygonal Approximation. Search on Bibsonomy ICONIP (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Michael Carl A system-theoretical view of EBMT. Search on Bibsonomy Mach. Transl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Statistical EBMT, Statistical machine translation, System theory, Example-based machine translation, Emergent behaviour
29Robert Nieuwenhuis, Albert Oliveras Decision Procedures for SAT, SAT Modulo Theories and Beyond. The BarcelogicTools. Search on Bibsonomy LPAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Yun Zhang, Michael Voss Runtime Empirical Selection of Loop Schedulers on Hyperthreaded SMPs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Marco Bozzano, Roberto Bruttomesso, Alessandro Cimatti, Tommi A. Junttila, Peter van Rossum, Stephan Schulz 0001, Roberto Sebastiani The MathSAT 3 System. Search on Bibsonomy CADE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ali El-Haj-Mahmoud, Ahmed S. Al-Zawawi, Aravindh Anantaraman, Eric Rotenberg Virtual multiprocessor: an analyzable, high-performance architecture for real-time computing. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF worst-case execution time, schedulability analysis, superscalar processor, simultaneous multithreading, hard real-time, resource partitioning
29Hai Zhou 0001 Efficient Steiner tree construction based on spanning graphs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Liem Tran, Nicholas Nelson 0001, Fung Ngai, Steve Dropsho, Michael C. Huang 0001 Dynamically reducing pressure on the physical register file through simple register sharing. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Christian Tenllado, Carlos García 0001, Manuel Prieto 0001, Luis Piñuel, Francisco Tirado Exploiting Multilevel Parallelism Within Modern Microprocessors: DWT as a Case Study. Search on Bibsonomy VECPAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Alon Lavie, Stephan Vogel, Lori S. Levin, Erik Peterson, Katharina Probst, Ariadna Font Llitjós, Rachel Reynolds, Jaime G. Carbonell, Richard Cohen Experiments with a Hindi-to-English transfer-based MT system under a miserly data scenario. Search on Bibsonomy ACM Trans. Asian Lang. Inf. Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF limited data resources, multiengine machine translation, transfer rules, machine learning, Evaluation, Hindi, example-based machine translation, statistical translation
29Daniel Ortiz, Ismael García-Varea, Francisco Casacuberta An Empirical Comparison of Stack-Based Decoding Algorithms for Statistical Machine Translation. Search on Bibsonomy IbPRIA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Philippe Langlais Opening Statistical Translation Engines to Terminological Resources. Search on Bibsonomy NLDB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Philippe Langlais, Michel Simard Merging Example-Based and Statistical Machine Translation: An Experiment. Search on Bibsonomy AMTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Y. Y. Li, Kwong-Sak Leung, C. K. Wong Steiner Trees in General Nonuniform Orientations. Search on Bibsonomy Computing The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Allan Snavely, Dean M. Tullsen Symbiotic Jobscheduling for a Simultaneous Multithreading Processor. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Perfecto Mariño, Miguel Angel Domínguez Integration of Image Processing and Automated Testing in a Manufacturing Client-Server Network. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Susmit Jha, Sumit Gulwani, Sanjit A. Seshia, Ashish Tiwari 0001 Oracle-guided component-based program synthesis. Search on Bibsonomy ICSE (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF oracle-based learning, SAT, program synthesis, SMT
29Malay K. Ganai, Aarti Gupta Tunneling and slicing: towards scalable BMC. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CFG, partitioning, slice, SMT, tunnel, EFSM, CSR, BMC
28William R. Harris, Sriram Sankaranarayanan 0001, Franjo Ivancic, Aarti Gupta Program analysis via satisfiability modulo path programs. Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF program analysis, abstract interpretation, symbolic execution, path sensitivity, smt solvers, satisfiability solvers
28Dongyoon Lee, Mahmoud Said, Satish Narayanasamy, Zijiang Yang 0006, Cristiano Pereira Offline symbolic analysis for multi-processor execution replay. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-processor replay, shared-memory dependencies, SMT solver
28Jeremy Condit, Brian Hackett, Shuvendu K. Lahiri, Shaz Qadeer Unifying type checking and property checking for low-level code. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF assertion checking, low-level code, decision procedure, type checking, smt solver, property checking
28Levent Erkök, John Matthews Pragmatic equivalence and safety checking in Cryptol. Search on Bibsonomy PLPV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sat/smt solving, size polymorphism, formal methods, cryptography, theorem proving, equivalence checking
28Saurabh Srivastava 0001, Sumit Gulwani Program verification using templates over predicate abstraction. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF constraint-based fixed-point, iterative fixed-point, quantified invariants, template invariants, predicate abstraction, weakest preconditions, smt solvers
28Xiuzhen Cheng 0001, Ding-Zhu Du, Lusheng Wang 0001, Baogang Xu Relay sensor placement in wireless sensor networks. Search on Bibsonomy Wirel. Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Relay sensor, SMT-MSP, Wireless sensor networks, Topology control, Steiner point
28Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
28Guansong Zhang, Priya Unnikrishnan, James Ren Experiments with Auto-Parallelizing SPEC2000FP Benchmarks. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SMT machine, parallel do, OpenMP, parallelizing compiler, automatic parallelization
25Weijie Wang, Yan Wang 0081, Guokun Xu, Qiujian Lv, Zuxin Chen, Siyuan Li VN-SMT: An SMT-based Construction Method on Virtual Network to Defend Insider Reconnaissance. Search on Bibsonomy WCNC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Hans-Jörg Schurr Stronger SMT Solvers for Proof Assistants: Proofs, Quantifier Simplification, Strategy Schedules. (Consolidation des solveurs SMT pour les assistants de preuve: preuves, simplification des quantificateurs, planification de stratégies). Search on Bibsonomy 2022   RDF
25Makai Mann, Amalee Wilson, Yoni Zohar, Lindsey Stuntz, Ahmed Irfan, Kristopher Brown, Caleb Donovick, Allison Guman, Cesare Tinelli, Clark W. Barrett Smt-Switch: A Solver-Agnostic C++ API for SMT Solving. Search on Bibsonomy SAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2209 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license