The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Rafail Psiakis Performance Optimization Mechanisms for Fault-Resilient VLIW Processors. (Mécanismes d'optimisation des performances des processeurs VLIW à tolérance de fautes). Search on Bibsonomy 2018   RDF
30Thorsten Jungeblut, Gregor Sievers, Mario Porrmann, Ulrich Rückert 0001 Design Space Exploration for Memory Subsystems of VLIW Architectures. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CoreVA, Cache, Design Space Exploration, VLIW, Memory Subsystem
30Dimitris Theodoropoulos, Alexandros Siskos, Dionisios N. Pnevmatikatos CCproc: A Custom VLIW Cryptography Co-processor for Symmetric-Key Ciphers. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cryptography, VLIW, reconfigurable processors
30Murali Jayapala, Francisco Barat, Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck Clustered Loop Buffer Organization for Low Energy VLIW Embedded Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RISC/CISC, low-power design, memory management, real-time and embedded systems, VLIW architectures, memory design
30Allon Adir, Yaron Arbetman, Bella Dubrov, Yossi Lichtenstein, Michal Rimon, Michael Vinov, Massimo A. Calligaro, Andrew Cofler, Gabriel Duffy VLIW: a case study of parallelism verification. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallelism, test generation, VLIW, functional verification, processor verification
30Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Exploiting data forwarding to reduce the power budget of VLIW embedded processors. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VLIW embedded architectures, low-power, pipeline processors, forwarding
30David López 0001, Josep Llosa, Eduard Ayguadé, Mateo Valero Impact on Performance of Fused Multiply-Add Units in Aggressive VLIW Architectures. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ILP limits, multiply-add fused, performance/cost evaluation, software pipelining, VLIW architectures, numerical code
30Zhao Wu, Wayne H. Wolf Trace-Driven Studies of VLIW Video Signal Processors. Search on Bibsonomy SPAA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VSP, trace-driven scheduling, parallelism, parallel architecture, MPEG, VLIW, media processor, video applications
30Soo-Mook Moon, Kemal Ebcioglu Performance Analysis of Tree VLIW Architecture for Exploiting Branch ILP in Non-Numerical Code. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1997 DBLP  DOI  BibTeX  RDF branch code motion, generalized multiway braching, speculative code motion, tree VLIW architecture, conditional execution
30B. Ramakrishna Rau Dynamically scheduled VLIW processors. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF multiple operation issue, scoreboarding, dynamic scheduling, out-of-order execution, VLIW processors
30Soo-Mook Moon, Kemal Ebcioglu An efficient resource-constrained global scheduling technique for superscalar and VLIW processors. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF compile-time parallelization, instruction-level parallelism, VLIW, superscalar
27Xing Fang, Dong Wang, Shuming Chen SPVA: A novel digital signal processor architecture for Software Defined Radio. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Benjamin Carrión Schäfer, Yongho Lee, Taewhan Kim Temperature-Aware Compilation for VLIWProcessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jürgen Schnerr, Oliver Bringmann 0001, Wolfgang Rosenstiel Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Giovanni Agosta, Stefano Crespi-Reghizzi, Gerlando Falauto, Martino Sykora JIST: Just-in-Time Scheduling Translation for Parallel Processors. Search on Bibsonomy ISPDC/HeteroPar The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Sunghyun Jee, Kannappan Palaniappan Compiler Processor Tradeoffs for DISVLIW Architecture. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Balanced Scheduling, DISVLIW, Processor architecture, ILP
27Mark Oskin, Justin Hensley, Diana Keen, Frederic T. Chong, Matthew K. Farrens, Aneet Chopra Exploiting ILP in Page-based Intelligent Memory. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Rolf Ernst, Kees A. Vissers, Pieter van der Wolf, Gert-Jan van Rootselaar System level design and debug of high-performance embedded media systems (tutorial). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  BibTeX  RDF
27Jos T. J. van Eijndhoven, Kees A. Vissers, Evert-Jan D. Pol, P. Struik, R. H. J. Bloks, Pieter van der Wolf, Harald P. E. Vranken, Frans Sijstermans, M. J. A. Tromp, Andy D. Pimentel TriMedia CPU64 Architecture. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Marcio Merino Fernandes, Josep Llosa, Nigel P. Topham Allocating Lifetimes to Queues in Software Pipelined Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Joseph A. Fisher, Paolo Faraboschi, Giuseppe Desoli Custom-fit Processors: Letting Applications Define Architectures. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Robert Cohn, Thomas R. Gross, Monica Lam 0001, P. S. Tseng Architecture and Compiler Tradeoffs for a Long Instruction Word Microprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
25Wen-Wen Hsieh, TingTing Hwang Thermal-aware post compilation for VLIW architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Shu Xiao 0001, Edmund Ming-Kit Lai A Rough Programming Approach to Power-Balanced Instruction Scheduling for VLIW Digital Signal Processors. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Mattias V. Eriksson, Oskar Skoog, Christoph W. Kessler Optimal vs. heuristic integrated code generation for clustered VLIW architectures. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Todd T. Hahn, Eric Stotzer, Dineel Sule, Mike Asal Compilation Strategies for Reducing Code Size on a VLIW Processor with Variable Length Instructions. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Heterogeneous Clustered VLIW Microarchitectures. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Praveen Raghavan, José L. Ayala, David Atienza, Francky Catthoor, Giovanni De Micheli, Marisa López-Vallejo Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jie Guo 0007, Jun Liu, Björn Mennenga, Gerhard P. Fettweis A Phase-Coupled Compiler Backend for a New VLIW Processor Architecture Using Two-step Register Allocation. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Neeraj Goel, Anshul Kumar, Preeti Ranjan Panda Power Reduction in VLIW Processor with Compiler Driven Bypass Network. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Mazen A. R. Saghir, Mohamad El-Majzoub, Patrick Akl Customizing the Datapath and ISA of Soft VLIW Processors. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Thomas Schuster, D. N. Bruna, Bruno Bougard, Veerle Derudder, A. Hoffmann, Liesbet Van der Perre Subword-Parallel VLIW Architecture Exploration for Multimode Software Defined Radio. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Jui-Chin Chu, Chih-Wen Huang, He-Chun Chen, Keng-Po Lu, Ming-Shuan Lee, Jiun-In Guo, Tien-Fu Chen Design of customized functional units for the VLIW-based multi-threading processor core targeted at multimedia applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Pablo Ituero, Marisa López-Vallejo New Schemes in Clustered VLIW Processors Applied to Turbo Decoding. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Distributed Data Cache Designs for Clustered VLIW Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design styles, Single data stream architectures
25Enric Gibert, Jaume Abella 0001, F. Jesús Sánchez, Xavier Vera, Antonio González 0001 Variable-Based Multi-module Data Caches for Clustered VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Edward D. Moreno, Fábio Dacêncio Pereira, Rodolfo B. Chiaramonte A VLIW-based cryptoprocessor on FPGAs architecture and performance issues (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Domenico Barretta, Gianluca Palermo, Mariagiovanna Sami, Roberto Zafalon Energy/Performance Evaluation of the Multithreaded Extension of a Multicluster VLIW Processor. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Yanjun Zhang, Hu He 0001, Yihe Sun A new register file access architecture for software pipelining in VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Cheng Peng, Zhengting He, Yvonne Cager An efficient motion-adaption de-interlacing technique on VLIW DSP architecture. Search on Bibsonomy AVSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Paul Morgan, Richard Taylor, Japheth Hossell, George Bruce, Barry O'Rourke Automated data cache placement for embedded VLIW ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache, ASIP, cache optimization, embedded applications
25Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai Operation Shuffling for Low Energy L0 Cluster Generation on Heterogeneous VLIW Processors. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Moonseok Kang, Wonyong Sung Memory access overhead reduction for a digital color copier implementation using a VLIW digital signal processor. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Software and Hardware Techniques to Optimize Register File Utilization in VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register requirements, register file organization, clustered organization, Modulo scheduling, spill code
25Nikos Pitsianis, Gerald G. Pechanek Indirect VLIW memory allocation for the ManArray multiprocessor DSP. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Mark G. Arnold A VLIW Architecture for Logarithmic Arithmetic. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Very Long Instruction Word, sum of products, pipeline, Logarithmic Number System
25Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25James C. Dehnert The Transmeta Crusoe: VLIW Embedded in CISC. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Bingfeng Mei, Serge Vernalde, Diederik Verkest, Hugo De Man, Rudy Lauwereins ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Hierarchical Clustered Register File Organization for VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Cornelia Grabbe, Marcus Bednara, Joachim von zur Gathen, Jamshid Shokrollahi, Jürgen Teich A High Performance VLIW Processor for Finite Field Arithmetic. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Davide Rizzo, Osvaldo Colavin A Video Compression Case Study on a Reconfigurable VLIW Architecture. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Steffen Köhler, Jens Braunes, Sergej Sawitzki, Rainer G. Spallek Improving Code Efficiency for Reconfigurable VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Marco Garatti, Roberto Costa, Stefano Crespi-Reghizzi, Erven Rohou The Impact of Alias Analysis on VLIW Scheduling. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Manvi Agarwal, S. K. Nandy 0001, Jos T. J. van Eijndhoven, Srinivasan Balakrishnan Speculative Trace Scheduling in VLIW Processors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Domenico Barretta, William Fornaciari, Mariagiovanna Sami, Danilo Pau SIMD Extension to VLIW Multicluster Processors for Embedded Applications. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Shorin Kyo, Takuya Koga, Shin'ichiro Okazaki IMAP-CE: a 51.2 GOPS video rate image processor with 128 VLIW processing elements. Search on Bibsonomy ICIP (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Wei Zhang 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, David Duarte, Yuh-Fang Tsai Exploiting VLIW schedule slacks for dynamic and leakage energy reduction. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Andrea G. M. Cilio, Henk Corporaal Code Positioning for VLIW Architectures. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Cesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami Determining the Optimum Extended Instruction-Set Architecture for Application Specific Reconfigurable VLIW CPUs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Chris Basoglu, Woobin Lee, John Setel O'Donnell The MAP1000A VLIW Mediaprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Jan Hoogerbrugge Dynamic Branch Prediction for a VLIW Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25L. Louis Zhang, Qiang Wang, David M. Lewis Design of a VLIW Compute Accelerator on the Transmogrifier-2. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Cagdas Akturan, Margarida F. Jacome FDRA: A Software-Pipelining Algorithm for Embedded VLIW Processors. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25F. Jesús Sánchez, Antonio González 0001 Instruction Scheduling for Clustered VLIW Architectures. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Satish Pillai, Margarida F. Jacome Symbolic Binding for Clustered VLIW ASIPs. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25F. Jesús Sánchez, Antonio González 0001 The Effectiveness of Loop Unrolling for Modulo Scheduling in Clustered VLIW Architectures. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Paolo Faraboschi, Geoffrey Brown, Joseph A. Fisher, Giuseppe Desoli, Fred Homewood Lx: a technology platform for customizable VLIW embedded processing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Eric Stotzer, Ernst L. Leiss Modulo Scheduling for the TMS320C6x VLIW DSP Architecture. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Ronald D. Williams, Brian D. Kuebert Reconfigurable Pipelines in VLIW Execution Units. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF very long instruction word, pipelines, reconfigurable computing
25Shail Aditya, B. Ramakrishna Rau, Vinod Kathail Automatic Architectural Synthesis of VLIW and EPIC Processors. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Craig S. K. Clapp Optimizing a Fast Stream Cipher for VLIW, SIMD, and Superscalar Processors. Search on Bibsonomy FSE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Arthur Abnous, Nader Bagherzadeh Pipelining and Bypassing in a VLIW Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VLIWprocessor, very long instruction word, pipeline data hazards, performance evaluation, performance, parallel architectures, computer architecture, pipeline processing, pipeline structure, bypassing
25Michel Auguin, Fernand Boéri, C. Carrière Automatic exploration of VLIW processor architectures from a designer's experience based specification. Search on Bibsonomy CODES The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Bogong Su, Jian Wang 0046, Zhizhong Tang, Wei Zhao, Yimin Wu A software pipelining based VLIW architecture and optimizing compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
23Carlos S. de La Lama, Pekka Jääskeläinen, Jarmo Takala Programmable and Scalable Architecture for Graphics Processing Units. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TTA, GPU, GPGPU, VLIW, OpenGL, GLSL, LLVM
23Jonah Probell Architecture Considerations for Multi-Format Programmable Video Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software programmable processor, hardwired processor, data tiling, SIMD, VLIW, processor architecture, multiprocessing
23Tsung-Han Tsai 0001, Chun-Nan Liu A Low-Latency Multi-layer Prefix Grouping Technique for Parallel Huffman Decoding of Multimedia Standards. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Prefix grouping, VLIW DSP processor, Multimedia, Parallel processing, Huffman coding
23Pablo Ituero, Gorka Landaburu, Javier Del Ser, Marisa López-Vallejo, Pedro M. Crespo, Vicente Atxa, Jon Altuna Joint Source-Channel Decoding ASIP Architecture for Sensor Networks. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DSC, Sensor Networks, VLIW, ASIP, Turbo Codes, Joint Source-Channel Coding, Factor Graphs
23Jer-Yu Hsu, Yan-Zu Wu, Xuan-Yi Lin, Yeh-Ching Chung SCRF - A Hybrid Register File Architecture. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster processor architecture, register architecture, register allocation algorithm, VLIW processor
23Rahul Nagpal, Arvind Madan, Bharadwaj Amrutur, Y. N. Srikant INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, energy modeling, energy-aware scheduling, clustered VLIW processors
23Tom Vander Aa, Bingfeng Mei, Bjorn De Sutter A backtracking instruction scheduler using predicate-based code hoisting to fill delay slots. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLIW scheduling, code hoisting, predication
23David W. Currie, Xiushan Feng, Masahiro Fujita, Alan J. Hu, Mark Kwan, Sreeranga P. Rajan Embedded Software Verification Using Symbolic Execution and Uninterpreted Functions. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Formal verification, DSP, embedded software, VLIW
23Ivan D. Baev, Richard E. Hank, David H. Gross Prematerialization: reducing register pressure for free. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rematerialization, register allocation, VLIW, Itanium, register pressure
23Pier Stanislao Paolucci, Ahmed Amine Jerraya, Rainer Leupers, Lothar Thiele, Piero Vicini SHAPES: : a tiled scalable software hardware architecture platform for embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MP-SOC, distributed network processors, hardware dependent software, network of processes, tiled parallel architectures, simulation, scheduling, embedded systems, VLIW, RISC, model based design, binding, retargetable compiler, application mapping
23Matt T. Yourst, Kanad Ghose Incremental Commit Groups for Non-Atomic Trace Processing. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF trace prediction, VLIW, commitment, binary translation
23Andreas Hoffmann 0002, Frank Fiedler, Achim Nohl, Surender Parupalli A Methodology and Tooling Enabling Application Specific Processor Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SIMD, VLIW, ASIP
23Binu K. Mathew, Al Davis, Michael A. Parker A low power architecture for embedded perception. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer vision, embedded systems, speech recognition, perception, low power design, VLIW, stream processor
23Hillery C. Hunter, Jaime H. Moreno A new look at exploiting data parallelism in embedded systems. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sub-word parallelism, architecture, embedded, DSP, telecommunications, SIMD, VLIW, processor, ILP, media, DLP, data-level parallelism
23Esther Salamí, Jesús Corbal, Carlos Álvarez 0001, Mateo Valero Cost effective memory disambiguation for multimedia codes. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multimedia, VLIW, run-time analysis, time-to-market, memory disambiguation
23Srihari Cadambi, Chandra Mulpuri, Pranav Ashar A fast, inexpensive and scalable hardware acceleration technique for functional simulation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, hardware acceleration, VLIW, functional simulation
23Josep Llosa, Eduard Ayguadé, Antonio González 0001, Mateo Valero, Jason Eckhardt Lifetime-Sensitive Modulo Scheduling in a Production Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register requirements, software pipelining, VLIW, instruction scheduling, loop scheduling, Fine grain parallelism, superscalar architectures
23Harald P. E. Vranken Debug Facilities in the TriMedia CPU64 Architecture. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF application debug, VLIW processor, design-for-debug
23John Impagliazzo Teaching very large instruction word architectures. Search on Bibsonomy ITiCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architectures, VLIW
23A. K. Riemens, Kees A. Vissers, R. J. Schutten, Gerben J. Hekstra, G. D. La Hei, Frans Sijstermans TriMedia CPU64 Application Domain and Benchmark Suite. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF TriMedia, multi-media benchmark, design space exploration, embedded processors, VLIW processors, media processing
23Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte Value Speculation Scheduling for High Performance Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation
23Soo-Mook Moon, Kemal Ebcioglu Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF global instruction scheduling, speculative code motion, instruction-level parallelism, software pipelining, VLIW, superscalar
23F. Jesús Sánchez, Antonio González 0001 Cache Sensitive Modulo Scheduling. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLIW machines, Software pipelining, software prefetching, locality analysis
23Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communicatons Systems. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MediaBench, SPEC benchmark suite, benchmark suite, compilation technology, experimental measurement, general-purpose computing, general-purpose systems, inner-loops, optimization, multimedia systems, instruction-level parallelism, SIMD, VLIW, communications systems, embedded applications, microprocessor architectures
23W. Lynn Gallagher, Chuan-lin Wu Evaluation of a memory hierarchy for the MTS multithreaded processor. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory hierarchy evaluation, MTS multithreaded processor, hardware resource utilization, instruction throughput, multithreaded superscalar processor, multiple instruction streams, multiple functional unit architecture, parameter-driven simulator, SES/workbench, numerical benchmarks, memory system configurations, main memory latency, cache hit rates, realistic multilevel cache hierarchy, parallel processing, VLIW, superscalar processor, instruction cache
Displaying result #201 - #300 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license