The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLSI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1979 (17) 1980 (40) 1981 (58) 1982 (113) 1983 (132) 1984 (194) 1985 (203) 1986 (264) 1987 (183) 1988 (441) 1989 (433) 1990 (424) 1991 (597) 1992 (538) 1993 (701) 1994 (675) 1995 (744) 1996 (687) 1997 (682) 1998 (904) 1999 (1062) 2000 (737) 2001 (840) 2002 (854) 2003 (1024) 2004 (1038) 2005 (1003) 2006 (1127) 2007 (1057) 2008 (832) 2009 (619) 2010 (733) 2011 (612) 2012 (825) 2013 (720) 2014 (871) 2015 (972) 2016 (792) 2017 (683) 2018 (808) 2019 (866) 2020 (730) 2021 (640) 2022 (821) 2023 (712) 2024 (144)
Publication types (Num. hits)
article(5474) book(51) incollection(128) inproceedings(22959) phdthesis(289) proceedings(251)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15571 occurrences of 3952 keywords

Results
Found 29152 publication records. Showing 29152 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34David Prutchi Electroceuticals - Replacing drugs by devices enabled through advanced VLSI technologies. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
34Sani R. Nassif, Yale N. Patt, Magdy S. Abadir Keynote 1 - VLSI 2.0: R&D Post Moore. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Mostafa Rahimi Azghadi, Said F. Al-Sarawi, Nicolangelo Iannella, Derek Abbott A new compact analog VLSI model for Spike Timing Dependent Plasticity. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Pierre Greisen, Michael Schaffner, Danny Luu, Val Mikos, Simon Heinzle, Frank K. Gürkaynak, Aljoscha Smolic Spatially-Varying Image Warping: Evaluations and VLSI Implementations. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
34Sandro Belfanti, Christian Benkeser, Karim Badawi, Qiuting Huang, Andreas Burg Successive interference cancellation for 3G downlink: Algorithm and VLSI architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
34David Z. Pan, Jhih-Rong Gao, Bei Yu 0001 VLSI CAD for emerging nanolithography. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
34Siwat Saibua, Liuxi Qian, Dian Zhou Worst case analysis for evaluating VLSI circuit performance bounds using an optimization method. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Jialiang Liu, Xinhua Chen, Yibo Fan, Xiaoyang Zeng A full-mode FME VLSI architecture based on 8×8/4×4 adaptive Hadamard Transform for QFHD H.264/AVC encoder. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Yanan Sun 0003, Volkan Kursun Uniform carbon nanotube diameter and nanoarray pitch for VLSI of 16nm P-channel MOSFETs. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Jiang Ying, Xinhua Chen, Yibo Fan, Xiaoyang Zeng MUX-MCM based quantization VLSI architecture for H.264/AVC high profile encoder. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Yang Chai, Minghui Sun, Zhiyong Xiao, Yuan Li, Min Zhang 0041, Philip C. H. Chan Towards future VLSI interconnects using aligned carbon nanotubes. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Christoph Studer, Markus Wenk, Andreas Burg VLSI Implementation of Hard- and Soft-Output Sphere Decoding for Wide-Band MIMO Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Sandeep Saini, Mahesh Kumar Adimulam, Sreehari Veeramachaneni, M. B. Srinivas An Alternative approach to Buffer Insertion for Delay and Power Reduction in VLSI Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay reduction, Schmitt Trigger, Buffer Insertion, Power reduction
34Gautam Hazari, Madhav P. Desai, G. Srinivas Bottleneck Identification Techniques Leading to Simplified Performance Models for Efficient Design Space Exploration in VLSI Memory Systems. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Weihuang Wang, Gwan S. Choi, Kiran K. Gunnam Low-Power VLSI Design of LDPC Decoder Using DVFS for AWGN Channels. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34S. Ramasamy, B. Venkataramani, K. Anbugeetha VLSI Implementation of a Digitally Tunable Gm-C Filter with Double CMOS Pair. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Giovanni De Micheli, Salvador Mir, Ricardo Reis 0001 (eds.) VLSI-SoC: Research Trends in VLSI and Systems on Chip - Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Sanghoan Chang, Gwan Choi Gate-Level Exception Handling Design for Noise Reduction in High-Speed VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34 VLSI Design 2005 Conference Awards. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Min Tang, Jun-Fa Mao Optimization of Global Interconnects in High Performance VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34 Call for Participation: 10th IEEE VLSI Design & Test Symposium. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34 VLSI Design 2006 Conference Awards. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34 VLSI Design Conference History. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34 Call for Participation: VLSI Design 2007. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Shekhar Borkar Probabilistic amp; Statistical Design - the Wave of the Future. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Lakshmi N. Chakrapani, Jason George, Bo Marr, Bilge Saglam Akgul, Krishna V. Palem Probabilistic Design: A Survey of Probabilistic CMOS Technology and Future Directions for Terascale IC Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Giovanni Beltrame, Donatella Sciuto, Cristina Silvano A Power-Efficient Methodology for Mapping Applications on Multi-Processor, System-on-Chip Architectures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Ittetsu Taniguchi, Keishi Sakanushi, Kyoko Ueda, Yoshinori Takeuchi, Masaharu Imai Dynamic Reconfigurable Architecture Exploration based on Parameterized Reconfigurable Processor Model. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Carlotta Guiducci, Claudio Stagni, M. Brocchi, Massimo Lanzoni, Bruno Riccò, Augusto Nascetti, Davide Caputo, A. De Cesare Innovative Optoeletronic Approaches to Biomolecular Analysis with Arrays of Silicon Devices. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Sam Kavusi, Kunal Ghosh, Abbas El Gamal Architectures for High Dynamic Range, High Speed Image Sensor Readout Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Ulrich Bockelmann Electronic Detection of DNA Adsorption and Hybridization. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Srinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Designing Routing and Message-Dependent Deadlock Free Networks on Chips. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Anna Bernasconi 0001, Valentina Ciriani, Roberto Cordone Logic Synthesis of EXOR Projected Sum of Products. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Shan Jiang, Manh Anh Do, Kiat Seng Yeo A CMOS Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Ahcène Bounceur, Salvador Mir, Luís Rolíndez, Emmanuel Simeu CAT Platform for Analogue and Mixed-Signal Test Evaluation and Optimization. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Zeynep Toprak Deniz, Yusuf Leblebici, Eric A. Vittoz Configurable On-Line Global Energy Optimization in Multi-Core Embedded Systems Using Principles of Analog Computation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Subhasish Mitra, Ming Zhang 0017, Norbert Seifert, T. M. Mak, Kee Sup Kim Soft Error Resilient System Design through Error Correction. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Arno Moonen, Chris Bartels, Marco Bekooij, René van den Berg, Harpreet Bhullar, Kees Goossens, Patrick Groeneveld, Jos Huisken, Jef L. van Meerbergen Comparison of an Æthereal Network on Chip and Traditional Interconnects - Two Case Studies. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Robert K. Henderson, Bruce Rae, David R. Renshaw, Edoardo Charbon Oversampled Time Estimation Techniques for Precision Photonic Detectors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Luís Guerra e Silva, Zhenhai Zhu, Joel R. Phillips, L. Miguel Silveira Library Compatible Variational Delay Computation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Jeff Brateman, Changjiu Xian, Yung-Hsiang Lu Frequency and Speed Setting for Energy Conservation in Autonomous Mobile Robots. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Julien Penders, Bert Gyselinckx, Ruud J. M. Vullers, Olivier Rousseaux, Mladen Berekovic, Michael De Nil, Chris Van Hoof, Julien Ryckaert, Refet Firat Yazicioglu, Paolo Fiorini, Vladimir Leonov Human++: Emerging Technology for Body Area Networks. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara Broadside Transition Test Generation for Partial Scan Circuits through Stuck-at Test Generation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Shekhar Borkar VLSI Design Challenges for Gigascale Integration. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Sabyasachi Mondal, Arijit De, P. K. Biswas A Low Power Reprogrammable Parallel Processing VLSI Architecture for Computation of B-Spline Based Medical Image Processing System for Fast Characterization of Tiny Objects Suspended in Cellular Fluid. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Rajiv V. Joshi, S. S. Kang, N. Zamdmar, Anda Mocuta, Ching-Te Chuang, J. A. Pascual-Gutiérrez Direct Temperature Measurement for VLSI Circuits and 3-D Modeling of Self-Heating in Sub-0.13 mum SOI Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Aniket, Ravishankar Arunachalam Novel Algorithm for Testing Crosstalk Induced Delay Faults in VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Deng Lei, Wen Gao 0001, Ming-Zeng Hu, Zhenzhou Ji An Efficient VLSI Architecture for MC Interpolation in AVC Video Coding. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
34Stephen Bates VLSI Issues for the Implementation of 10GBASE-T Ethernet. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
34Jayapreetha Natesan, Damu Radhakrishnan A Novel Bus Encoding Technique for Low Power VLSI. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
34Bassam Shaer, Kailash Aurangabadkar An Automated Algorithm for Partitioning Sequential VLSI Circuits. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
34Rajiv V. Joshi, K. Kroell, Ching-Te Chuang A Novel Technique For Steady State Analysis For VLSI Circuits In Partially Depleted SOI. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Amardeep Singh Quantum Search Algorithm for Automated Test Pattern Generation in VLSI Testing. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
34Evandro de Araújo Jardini, Dilvan de Abreu Moreira Multithreaded parallel VLSI Leaf Cell Generator Using Agents 2. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
34Mamidala Jagadesh Kumar, D. Venkateshrao A New Lateral SiGe-Base PNM Schottky Collector Bipolar Transistor on SOI for Non-saturating VLSI Logic Design. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Srikar Movva, S. Srinivasan 0001 A Novel Architecture for Lifting-Based Discrete Wavelet Transform for JPEG2000 Standard suitable for VLSI. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Koushik K. Das, Richard B. Brown Ultra Low-Leakage Power Strategies for Sub-1 V VLSI: Novel Circuit Styles and Design Methodologies for Partially Depleted Silicon-On-Insulator (PD-SOI) CMOS Technology. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Jong-Sheng Cherng, Sao-Jie Chen An Efficient Multi-Level Partitioning Algorithm for VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Rajeev Madhavan India-Building the Tall, Thin VLSI Engineer. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Hailong Cui, Sharad C. Seth, Shashank K. Mehta A Novel Method to Improve the Test Efficiency of VLSI Tests. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Kavish Seth, S. Srinivasan 0001 VLSI Implementation of 2-D DWT/IDWT Cores Using 9/7-Tap Filter Banks Based on the Non-Expansive Symmetric Extension Scheme. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 2D-DWT/IDWT Hardware, Non-expansive symmetric Extension, Canonic Signed Digit Arithmetic, Sub-expression Sharing, Low Power
34Stefan Rusu, Manoj Sachdev, Christer Svensson, Bram Nauta Trends and Challenges in VLSI Technology Scaling towards 100nm (Tutorial Abstract). Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Jinku Choi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki VLSI Architecture for a Flexible Motion Estimation with Parameters. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Motion estimation, VHDL, Block matching
34M. V. Atre, P. S. Subramanian, H. Narayanan Mathematical Methods in VLSI (Tutorial Abstract). Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Biswadip Mitra Consumer Digitization: Accelerating DSP Applications, Growing VLSI Design Challenges. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Sujit T. Zachariah, Sreejit Chakravarty A Novel Algorithm for Multi-Node Bridge Analysis of Large VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Kaushik Roy 0001, Khurram Muhammad Low Power VLSI Signal Processing. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Parimal Pal Chaudhuri, Dipanwita Roy Chowdhury, Kolin Paul, Biplab K. Sikdar Theory and Applications of Cellular Automata for VLSI Design and Testing. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Melvin A. Breuer, Sandeep K. Gupta 0001 New Validation and Test Problems for High Performance Deep Submicron VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34S. Gailhard, Nathalie Julien, Adel Baganne, Eric Martin 0001 Low Power Design of an Acoustic Echo Canceller Gmdf a Algorithm on Dedicated VLSI Architectures. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Kolin Paul, P. Dutta, Dipanwita Roy Chowdhury, Prasanta Kumar Nandi, Parimal Pal Chaudhuri A VLSI Architecture for On-Line Image Decompression Using GF(28) Cellular Automata. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Sudip Nag, H. K. Verma, Kaushik Roy 0001 VLSI Signal Processing in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  BibTeX  RDF
34Ashok Vittal, Lauren Hui Chen, Malgorzata Marek-Sadowska, Kai-Ping Wang, Sherry Yang Modeling Crosstalk in Resistive VLSI Interconnections. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Shashank K. Mehta, Sharad C. Seth Empirical Computation of Reject Ratio in VLSI Testing. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Andrew B. Kahng, Sudhakar Muddu, Egino Sarto Interconnect Optimization Strategies for High-Performance VLSI Designs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Stefan Thomas Obenaus, Ted H. Szymanski Placements Benchmarks for 3-D VLSI. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
34Stefan Lachowicz, Kamran Eshraghian, Hans-Jörg Pfleiderer Self-Timed Techniques for Low-Power Digital Arithmetic in GaAs VLSI. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
34S. Gailhard, Nathalie Julien, Jean-Philippe Diguet, Eric Martin 0001 How to Transform an Architectural Synthesis Tool for Low Power VLSI Designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Inseop Lee, W. Kenneth Jenkins The Design of Residue Number System Arithmetic Units for A VLSI Adaptive Equalizer. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF residue number, LMS, RNS
34Rung-Bin Lin, Meng-Chiou Wu A New Statistical Approach to Timing Analysis of VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Statistical timing anylysis, longest path delay, path correlation, timing simulation
34Rajeev Jain, Charles Chien, Etan G. Cohen, Leader Ho Simulation and Synthesis of VLSI Communication Systems. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF DSP simulation, DSP design, Telecom
34Nagarajan Ranganathan, Rajat Anand, Girish Chiruvolu A VLSI ATM Switch Architecture for VBR Traffic. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Debashis Saha, Anantha P. Chandrakasan Web-based Distributed VLSI Design. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Giuseppe Ascia, Vincenzo Catania, Giuseppe Ficili Design of a VLSI Hardware PET Decoder. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Milind B. Kamble, Kanad Ghose Energy-Efficiency of VLSI Caches: A Comparative Study. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Gary William Grewal, Thomas Charles Wilson An Enhanced Genetic Solution for Scheduling, Module Allocation, and Binding in VLSI Design. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Anthony D. Johnson On Locally Optimal Breaking of Complex Cyclic Vertical Constraints in VLSI Channel Routing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Seokjin Kim, Ramalingam Sridhar Self-Timed Mesochronous Interconnection for High-Speed VLSI Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Maher E. Rizkalla, Richard L. Aldridge, Nadeem A. Khan, Harry C. Gundrum A CMOS VLSI Implementation of an NxN Multiplexing Circuitry for ATM Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Prakash Arunachalam, Jacob A. Abraham, Manuel A. d'Abreu A Hierarchal Approach for Power Reduction in VLSI Chips. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34A. Ratan Gupta, V. Visvanathan VLSI Implementation of DSP Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Anantha P. Chandrakasan, Kurt Keutzer, A. Khandekar, S. L. Maskara, B. D. Pradhan, Mani B. Srivastava Mobile Communications: Demands on VLSI Technology, Design and CAD. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Robert W. Brodersen, Rajeev Jain VLSI in Mobile Communication. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34S. Mitra 0001, S. Das, Parimal Pal Chaudhuri, Sukumar Nandi Architecture of a VLSI Chip for Modeling Amino Acid Sequence in Proteins. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Jacob A. Abraham, Gopi Ganapathy Practical Test and DFT for Next Generation VLSI. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Pallab Dasgupta, Prasenjit Mitra, P. P. Chakrabarti 0001, S. C. De Sarkar Multiobjective Search in VLSI Design. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Tanuj Bagchi, Sajal K. Das 0001 An Efficient Hybrid Heuristic for the Gate Matrix Layout Problem in VLSI Design. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Mario Kovac, N. Ranganathan ACE: A VLSI Chip for Galois Field GF (2m) Based Exponentiation. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Abhaya Asthana, Mike Laznovsky, Boyd Mathews SEMU: A Parallel Processing System for Timing Simulation of Digital CMOS VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 29152 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license