The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Kavish Seth, K. N. Viswajith, S. Srinivasan 0001, V. Kamakoti 0001 Ultra Folded High-Speed Architectures for Reed-Solomon Decoders. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Oscar Gustafsson, Kenny Johansson, Håkan Johansson, Lars Wanhammar Implementation of Polyphase Decomposed FIR Filters for Interpolation and Decimation Using Multiple Constant Multiplication Techniques. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chip-Hong Chang, Jiangmin Gu, Mingyan Zhang A review of 0.18-μm full adder performances for tree structured arithmetic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Neil Burgess New Models of Prefix Adder Topologies. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefix addition, absolute difference, VLSI, delay model, idempotency
18Guadalupe Miñana, Oscar Garnica, José Ignacio Hidalgo, Juan Lanchares, José Manuel Colmenar Power Reduction of Superscalar Processor Functional Units by Resizing Adder-Width. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Valeriu Beiu, Asbjørn Djupdal, Snorre Aunet Ultra Low-Power Neural Inspired Addition: When Serial Might Outperform Parallel Architectures. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ji-Xue Xiao, Guang-Ju Chen, Yong-Le Xie Arithmetic Test Strategy for FFT Processor. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Kenny Johansson, Oscar Gustafsson, Lars Wanhammar Implementation of low-complexity FIR filters using serial arithmetic. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Chip-Hong Chang, Shibu Menon, Bin Cao, Thambipillai Srikanthan A configurable dual moduli multi-operand modulo adder. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Vagner S. Rosa, Eduardo A. C. da Costa, José C. Monteiro 0001, Sergio Bampi An improved synthesis method for low power hardwired FIR filters. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA synthesis, parallel FIR filter, power-of-two, common subexpression elimination
18Ge Yang 0004, Zhongda Wang, Sung-Mo Kang Leakage-Proof Domino Circuit Design for Deep Sub-100nm Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
18T. Sansaloni, Javier Valls, Keshab K. Parhi Digit-Serial Complex-Number Multipliers on FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF complex-number multipliers, digit-serial arithmetic, FPGA, Booth recoding
18Peter Celinski, Sorin Cotofana, Derek Abbott A-DELTA: A 64-bit High Speed, Compact, Hybrid Dynamic-CMOS/Threshold-Logic Adder. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Kay-Chuan Benny Tan, Tughrul Arslan Shift-accumulator ALU centric JPEG2000 5/3 lifting based discrete wavelet transform architecture. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ya Jun Yu, Tapio Saramäki, Yong Ching Lim An iterative method for optimizing FIR filters synthesized using the two-stage frequency-response masking technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Shugang Wei, Kensuke Shimizu Modulo (2p ± 1) multipliers using a three-operand modular addition and Booth recoding based on signed-digit number arithmetic. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Oscal T.-C. Chen, Robin R.-B. Sheen, S. Wang A low-power adder operating on effective dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, Spyros Blionas, Kostas Masselos, Adonios Thanailakis Architecture Design of a Reconfigurable Multiplier for Flexible Coarse-Grain Implementations. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Zhiyuan Yan, Dilip V. Sarwate Systolic architectures for finite field inversion and division. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Peter Celinski, Said F. Al-Sarawi, Derek Abbott, José Francisco López Low depth carry lookahead addition using charge recycling threshold logic. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Shugang Wei, Shuangching Chen, Kensuke Shimizu Fast modular multiplication using Booth recoding based on signed-digit number arithmetic. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Suhwan Kim, Marios C. Papaefthymiou True single-phase adiabatic circuitry. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ernest Jamro, Kazimierz Wiatr Genetic Programming in FPGA Implementation of Addition as a Part of the Convolution. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Andrew Beaumont-Smith, Cheng-Chew Lim Parallel Prefix Adder Design. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Trevor W. Fox, Laurence E. Turner The design of peak constrained least squares FIR filters with low complexity finite precision coefficients. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Tapio Saramäki, Håkan Johansson Optimization of FIR filters using the frequency-response masking approach. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Henrik Ohlsson, Oscar Gustafsson, Lars Wanhammar Arithmetic transformations for increased maximal sample rate of bit-parallel bireciprocal lattice wave digital filters. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Johnny Holmberg, Lennart Harnefors, Krister Landernäs, Svante Signell Computational properties of LDI/LDD lattice filters. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Matthew M. Ziegler, Mircea Stan Optimal logarithmic adder structures with a fanout of two for minimizing the area-delay product. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Li-Hsun Chen, Oscal T.-C. Chen A low-complexity and high-speed Booth-algorithm FIR architecture. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Parag K. Lala, Alvernon Walker On-Line Error Detectable Carry-Free Adder Design. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF carry-free adder, signed binary digits, 1-out-of-3 code, on-line error detection
18Albrecht P. Stroele, Steffen Tarnick Embedded Checker Architectures for Cyclic and Low-Cost Arithmetic Codes. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF code checkers, code word accumulators, code word generators, embedded checkers, cyclic arithmetic codes, low-cost arithmetic codes, built-in self-test, on-line test, totally self-checking checkers
18Manish Goel, Naresh R. Shanbhag Dynamic algorithm transformations (DAT)-a systematic approach to low-power reconfigurable signal processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Wen-Shiaw Peng, Chen-Yi Lee An Efficient VLSI Architecture for Separable 2-D Discrete Wavelet Transform. Search on Bibsonomy ICIP (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Khurram Muhammad, Kaushik Roy 0001 A Graph Theoretic Approach for Design and Synthesis of Multiplierless FIR Filters. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Elena Dubrova Evaluation of m-Valued Fixed Polarity Generalizations of Reed-Muller Canonical Form. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Reed-Muller canonical form, fixed polarity, multiple-valued function
18Miguel A. Sacristán, María Victoria Rodellar Biarge, Antonio Diaz, V. Garcia, Pedro Gómez 0001 A Reusable Inner Product Unit for DSP Applications. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Stanislaw J. Piestrak Design of Self-Testing Checkers for m-out-of-n Codes Using Parallel Counters. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF counters of 1s, m-out-of-n (m/n) codes, concurrent error detection, on-line testing, self-checking circuit, unidirectional errors, parallel counters, unordered codes, self-testing checker
18Suhwan Kim, Marios C. Papaefthymiou True single-phase energy-recovering logic for low-power, high-speed VLSI. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Gareth Keane, Jonathan R. Spanier, Roger F. Woods The impact of data characteristics and hardware topology on hardware selection for low power DSP. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Steffen Tarnick, Albrecht P. Stroele Embedded self-testing checkers for low-cost arithmetic codes. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Albrecht P. Stroele BIST Pattern Generators Using Addition and Subtraction Operations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF built-in self-test, adder, accumulator, pattern generator, subtracter
18Gianluca Cena, Paolo Montuschi, Luigi Ciminiera, Andrea Sanna A Q-Coder Algorithm with Carry Free Addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF image compression, arithmetic coding
18Deepak Kapur, Mahadevan Subramaniam Mechanizing Verification of Arithmetic Circuits: SRT Division. Search on Bibsonomy FSTTCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin Some Issues in Gray Code Addressing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Charles U. Martel, Vojin G. Oklobdzija, R. Ravi 0001, Paul F. Stelling Design Strategies for Optimal Multiplier Circuits. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Algorithms, Circuit design, Partial product reduction, Multiplier design
18Luca Breveglieri, Luigi Dadda, Vincenzo Piuri Column Compression Pipelined Multipliers. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pipelining, computer arithmetic, multipliers
18Hosahalli R. Srinivas, Bapiraju Vinnakota, Keshab K. Parhi A C-testable carry-free divider. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Daniel Etiemble, Keivan Navi Algorithms and multi-valued circuits for the multioperand addition in the binary stored-carry number system. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Rong Lin, Stephan Olariu Computing the Inner Product on Reconfigurable Buses with Shift Switching. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Andres R. Takach, Niraj K. Jha Easily testable gate-level and DCVS multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Mary Jane Irwin, Robert Michael Owens A case for digit serial VLSI signal processors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Jagan P. Agrawal, V. Umapathi Reddy Log-sum multiplier. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
16Khadijeh Moeini Roodbali, Ebrahim Abiri, Kourosh Hassanli Highly efficient low-area gate-diffusion-input-based approximate full adders for image processing computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Marcelo Tosini, Martín Vázquez 0001, Lucas Leiva Analysis and efficient implementation of IEEE-754 decimal floating point adders/subtractors in FPGAs for DPD and BID encoding. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Aiman Malik, Md. Shahbaz Hussain, Mohd. Hasan Energy-Efficient Exact and Approximate CNTFET-Based Ternary Full Adders. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Parthiv Bhau, Vijay Savani Design and Analysis of Low-Voltage and Low-Power 19T FinFET-TGDI-Based Hybrid Full Adders. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Maxime Remaud Quantum Ripple-Carry Adders and Comparator. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Chandan Kumar Jha 0001, Sallar Ahmadi-Pour, Rolf Drechsler Input Distribution Aware Library of Approximate Adders Based on Memristor-Aided Logic. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Vishesh Mishra, Sparsh Mittal, Nirbhay Mishra, Rekha Singhal Security Implications of Approximation: A Study of Trojan Attacks on Approximate Adders and Multipliers. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Ayoub Sadeghi, Razieh Ghasemi, Hossein Ghasemian, Nabiollah Shiri Efficient and optimized approximate GDI full adders based on dynamic threshold CNTFETs for specific least significant bits. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16R. Jothin, C. Vasanthanayaki, P. Sreelatha, M. Peer Mohamed Comparison and extension of high performance adders for hybrid and error tolerant applications. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Morgana Macedo Azevedo da Rosa, Guilherme Paim, Patrícia Ücker Leleu da Costa, Eduardo Antonio Cesar da Costa, Rafael Iankowski Soares, Sergio Bampi AxPPA: Approximate Parallel Prefix Adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Apostolos Stefanidis, Ioanna Zoumpoulidou, Dionysios Filippas, Giorgos Dimitrakopoulos, Georgios Ch. Sirakoulis Synthesis of Approximate Parallel-Prefix Adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hyoju Seo, Yongtae Kim A Low Latency Approximate Adder Design Based on Dual Sub-Adders With Error Recovery. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Kleanthis Papachatzopoulos, Vassilis Paliouras Path-Based Delay Variation Models for Parallel-Prefix Adders. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Tresa Joseph, T. S. Bindiya Power and Delay-Efficient Matrix Vector Multiplier Units for the LSTM Networks Using Activity Span Reduction Technique and Recursive Adders. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Anubhav Anand, Satyam Singh, Sandeep Dhariwal, Reeba Korah, Gaurav Kumar Low Power Full Adders based on Proposed Hybrid and GDI Designs: A Novel Approach. Search on Bibsonomy Int. J. Perform. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sarina Nemati, Mostafa Haghi Kashani, Reza Faghih Mirzaee Comprehensive survey of ternary full adders: Statistics, corrections, and assessments. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Nabiollah Shiri, Ayoub Sadeghi, Mahmood Rafiee High-efficient and error-resilient gate diffusion input-based approximate full adders for complex multistage rapid structures. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Farshid Ahmadi, Mohammad R. Semati, Hassan Daryanavard, Atefeh Minaeifar Energy-efficient approximate full adders for error-tolerant applications. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Muhammad Abdullah Hanif, Rehan Hafiz, Muhammad Shafique 0001 DAEM: A Data- and Application-Aware Error Analysis Methodology for Approximate Adders. Search on Bibsonomy Inf. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Martin Kumm, Anastasia Volkova, Silviu-Ioan Filip Design of Optimal Multiplierless FIR Filters With Minimal Number of Adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ebrahim Farahmand, Ali Mahani 0001, Muhammad Abdullah Hanif, Muhammad Shafique 0001 Design and Analysis of High Performance Heterogeneous Block-based Approximate Adders. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Farhad Ebrahimi-Azandaryani, Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram Accuracy Configurable Adders with Negligible Delay Overhead in Exact Operating Mode. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jiawen Cheng, Yong Xiao, Yun Shao 0008, Guanghai Dong, Songlin Lyu, Wenjian Yu Machine-learning-driven Architectural Selection of Adders and Multipliers in Logic Synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mantas Mikaitis Monotonicity of Multi-Term Floating-Point Adders. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Rajat Bhattacharjya, Biswadip Maity, Nikil D. Dutt Locate: Low-Power Viterbi Decoder Exploration using Approximate Adders. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Seyed Erfan Fatemieh, Mohammad Reza Reshadinezhad, Nima TaheriNejad Fast and Compact Serial IMPLY-Based Approximate Full Adders Applied in Image Processing. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hilal A. Bhat, Farooq Ahmad Khanday, Brajesh Kumar Kaushik Optimized quantum implementation of novel controlled adders/subtractors. Search on Bibsonomy Quantum Inf. Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16M. Priyadharshni, Kishore Sanapala, Polinpapilinho F. Katina, K. Prasanna Kumar Image analysis in healthcare systems using approximate multi-bit adders. Search on Bibsonomy Int. J. Syst. Syst. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Prasanna Kumar K., M. Priyadharshni, Kishore Sanapala, Polinpapilinho F. Katina Image analysis in healthcare systems using approximate multi-bit adders. Search on Bibsonomy Int. J. Syst. Syst. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Deepthi Amuru, Andleeb Zahra, Varnika Karakuram, Zia Abbas Design of Approximate Full Adders for Error Resilient Applications. Search on Bibsonomy ICCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jan Kleinekathöfer, Alireza Mahzoon, Rolf Drechsler Polynomial Formal Verification of Floating Point Adders. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Timothy J. Baker, John P. Hayes Design of Large-Scale Stochastic Computing Adders and their Anomalous Behavior. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Bogdan Pasca 0001, Martin Langhammer Extracting low-precision floating-point adders from embedded hard FP DSP Blocks on FPGAs. Search on Bibsonomy ARITH The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Shadman Shahriar, A. B. M. Alim Al Islam Extending Shor's Quantum Error Correction Circuits Using Quantum Adders. Search on Bibsonomy GLOBECOM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Moola Vamsi Krishna Reddy, Nusum Raja Sekhar Reddy, Pinnepalli Naveen Kumar, Ramireddy Praneeth Kumar Reddy, Sarada Musala, Avireni Srinivasulu Modified Low Power High Speed Approximate Adders For Energy Efficient Arithmetic Applications. Search on Bibsonomy ECAI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Alexander Lehnert, Hans Rosenberger, Ralf R. Müller, Marc Reichenbach More Efficient CMMs on FPGAs: Instantiated Ternary Adders for Computation Coding. Search on Bibsonomy ARC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sercan Aygun, M. Hassan Najafi, Lida Kouhalvandi, Ece Olcay Günes Multiplexer Optimization for Adders in Stochastic Computing. Search on Bibsonomy NANOARCH The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Rounak Roy, Sudip Ghosh 0001, Hafizur Rahaman 0001 Implementation of Area Efficient Adders for Inexact Computing. Search on Bibsonomy ISDCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Shaojie Wei, Zhixing Li, Jie Cui 0004, Zhengfeng Huang, Patrick Girard 0001, Xiaoqing Wen Design of Low-Cost Approximate CMOS Full Adders. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mineo Kaneko Macro Construction Rules and Optimization for Long Bit Parallel Prefix Adders. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ioannis Tsounis, Dimitris Agiakatsikas, Mihalis Psarakis Detecting Hardware Faults in Approximate Adders via Minimum Redundancy. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Bhaskar Gaur, Travis S. Humble, Himanshu Thapliyal Noise-Resilient and Reduced Depth Approximate Adders for NISQ Quantum Computing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Rajat Bhattacharjya, Biswadip Maity, Nikil D. Dutt Locate: Low-Power Viterbi Decoder Exploration using Approximate Adders. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Archie Mishra, Nanditha Rao DSEAdd: FPGA based Design Space Exploration for Approximate Adders with Variable Bit-precision. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hyoju Seo, Seokhyeon Lee, Yongtae Kim Computation Exactness Exploration of Exact Quantum Adders in NISQ. Search on Bibsonomy ISOCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16P. Kishore, Nagalaxmi Thaduru, K. Kalyana Srinivas Design of High Performance Adders Using Quantum Dot Cellular Automata (QCA). Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license