The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cells with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1968 (15) 1969-1976 (17) 1977-1978 (15) 1979-1981 (24) 1982-1983 (23) 1984-1985 (31) 1986 (22) 1987 (28) 1988 (55) 1989 (31) 1990 (65) 1991 (60) 1992 (66) 1993 (72) 1994 (82) 1995 (120) 1996 (120) 1997 (148) 1998 (151) 1999 (215) 2000 (262) 2001 (274) 2002 (325) 2003 (382) 2004 (529) 2005 (655) 2006 (803) 2007 (782) 2008 (847) 2009 (534) 2010 (325) 2011 (315) 2012 (390) 2013 (435) 2014 (500) 2015 (550) 2016 (488) 2017 (501) 2018 (542) 2019 (585) 2020 (565) 2021 (534) 2022 (504) 2023 (482) 2024 (77)
Publication types (Num. hits)
article(5487) book(8) data(6) incollection(54) inproceedings(7837) phdthesis(152) proceedings(2)
Venues (Conferences, Journals, ...)
CoRR(410) PLoS Comput. Biol.(244) EMBC(215) Sensors(204) IEEE Trans. Comput. Aided Des....(198) IEEE Access(185) ISCAS(161) DAC(160) ISBI(150) J. Comput. Neurosci.(112) IEEE Trans. Very Large Scale I...(111) Biol. Cybern.(99) VTC Spring(95) ICRA(92) DATE(83) IROS(81) More (+10 of total 2363)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5150 occurrences of 2657 keywords

Results
Found 13546 publication records. Showing 13546 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Rafael Sebastián, María Elena Díaz, Guillermo Ayala, Kresimir Letinic, José Moncho-Bogani, Derek Toomre Spatio-Temporal Analysis of Constitutive Exocytosis in Epithelial Cells. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF exocytosis, epithelial cells, total internal reflection fluorescent microscopy (TIRFM), Spatio-temporal clustering
23Jens Vygen Algorithms for Detailed Placement of Standard Cells. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF combinatorial optimization, standard cells, Detailed placement
23István Vassányi, István Erényi Implementation of Processor Cells for Array Algorithms on FPGAs. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF array algorithms, processor cells, fine-grain array architectures, cellular image processing algorithms, placement-routing tool, field programmable gate arrays, FPGA, processor arrays
23Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska Design and performance of CMOS TSPC cells for high speed pseudo random testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists
23Andrew Lim 0001, Siu-Wing Cheng, Sartaj Sahni Optimal Joining of Compacted Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compacted cells, river routing, minimum area joining, low-order polynomial complexity, VLSI, network routing, circuit layout CAD, stretching, wire length
23Abhijit Chatterjee, Jacob A. Abraham The Testability of Generalized Counters Under Multiple Faulty Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF generalized counters, multiple faulty cells, counting circuits, logic testing, fault model, testability, adders, full adders
23Anastasios Vergis, Kenneth Steiglitz Testability Conditions for Bilateral Arrays of Combinational Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF testability conditions, Bilateral arrays, combinational cells, linear growth, one-step testability, preset test sequences, quadratic growth, fault detection, systolic array
23Wolfgang Coy On the Design of Easily Testable Iterative Systems of Combinational Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF stuck-at faults., Combinational cells, iterative systems
23Jon T. Butler Tandem Networks of Universal Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF tandem networks, disjunctive decomposition, disjunctive networks, networks of flexible cells, nondisjunctive decompositions, Cascades
22Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao Spare-cell-aware multilevel analytical placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cells, placement, physical design
22Iris Hui-Ru Jiang, Hua-Yu Chang, Liang-Gi Chang, Huang-Bi Hung Matching-based minimum-cost spare cell selection for design changes. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cells, matching, physical synthesis, resynthesis, ECO
22Tim Gollisch, Markus Meister Modeling convergent ON and OFF pathways in the early visual system. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF LN model extension, Multiple pathways, Spike-triggered analysis, ON-OFF ganglion cells, Retina
22Orlando Durán, Nibaldo Rodríguez, Luiz Airton Consalter A PSO-Based Clustering Algorithm for Manufacturing Cell Design. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Manufacturing cells, machine grouping, particle swarm optimization I
22Tassilo Glander, Jürgen Döllner Cell-based generalization of 3D building groups with outlier management. Search on Bibsonomy GIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF accentuation, clustering, generalization, aggregation, level-of-detail, outliers, cells, 3D city models
22Mehdi Boukallel, Maxime Girot, Stephane Régnier In Situ Autonomous Biomechanical Characterization. Search on Bibsonomy EUROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF In vitro mechanical cell characterization, Scanning Probe Microscopy (SPM) techniques, human adherent cervix Epithelial Hela cells mechanical characterization
22Duncan E. Donohue, Giorgio A. Ascoli Local Diameter Fully Constrains Dendritic Size in Basal but not Apical Trees of CA1 Pyramidal Neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dendritic structure, pyramidal cells, computational models, morphology, three-dimensional reconstructions
22Christian Boucheny, Nicolas Brunel, Angelo Arleo A Continuous Attractor Network Model Without Recurrent Excitation: Maintenance and Integration in the Head Direction Cell System. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF head direction cells, continuous attractor networks, integrate-and-fire spiking neurons
22Bazil Taha Ahmed, Miguel Calvo-Ramón, Leandro de Haro-Ariet W-CDMA Uplink Capacity and Interference Statistics of Rural Highways Cross-Shaped Microcells. Search on Bibsonomy Int. J. Wirel. Inf. Networks The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cells capacity, shadowing, W-CDMA
22Thomas Nowotny, Mikhail I. Rabinovich, Ramón Huerta, Henry D. I. Abarbanel Decoding Temporal Information Through Slow Lateral Excitation in the Olfactory System of Insects. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF odor representation, mushroom body, Kenyon cells, sparse coding, olfaction, temporal coding
22Frank Schmiedle, Rolf Drechsler, Bernd Becker 0001 Exact Routing with Search Space Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forced cells, MDDs, Detailed routing, fixed point iteration
22Juan M. Arco, Bernardo Alarcos, Agustín Martínez-Hellín, Daniel Meziat Quality of service over Ethernet for telelearning applications. Search on Bibsonomy ITiCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATM (Asynchronous Transfer Mode), CIF (Cells in Frame), telelearning, Ethernet
22Gosta Pada Biswas, Idranil Sen Gupta Generalized modular design of testable m-out-of-n code checker. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable m-out-of-n code checker, combinational logic port, combinational logic cells, unidirectional faults, complementary outputs, VLSI, fault diagnosis, logic testing, cellular automata, combinational circuits, fault location, stuck-at faults, logic arrays, cellular automaton, modular design, iterative array, initial state
22Y. Chang, Nada Golmie, David H. Su Study of interoperability between EFCI and ER switch mechanisms for ABR traffic in an ATM network. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ABR traffic, rate-based flow control, transmission rate control, feedback information, resource management cells, network switching nodes, ATM Forum Traffic Management Specification, network switch mechanism, ATM switch vendor, bandwidth allocation fairness, explicit forward congestion indication, explicit rate mechanism, end system behavior, congestion notification, algorithms, interoperability, asynchronous transfer mode, asynchronous transfer mode, ATM network, simulation results, network performance, simulation study, performance characteristics, available bit rate, destination nodes
21Enrico Cataldo, Marcello Brunelli, John H. Byrne, Evyatar Av-Ron, Yidao Cai, Douglas A. Baxter Computational Model of Touch Sensory Cells (T Cells) of the Leech: Role of the Afterhyperpolarization (AHP) in Activity-Dependent Conduction Failure. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF action potential propagation, neuronal plasticity, branch point failure, conduction reliability
21Yu-Min Kuo, Ya-Ting Chang, Shih-Chieh Chang, Malgorzata Marek-Sadowska Spare Cells With Constant Insertion for Engineering Change. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Devinder Kaur 0001, Jaina Sangtani Building a neuro-fuzzy model for predicting the bacteria bound and internalized by the white blood cells. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Nico Scherf, Jens-Peer Kuska, Ulf-Dietrich Braumann, Katja Franke, Tilo Pompe, Ingo Röder Spatio-temporal Analysis of Unstained Cells In-vitro. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Akihiko Ichikawa, Seiya Takahashi, Kazutsugu Matsukawa, Tamio Tanikawa, Kohtaro Ohba Injection and cutting methods of animal cells using a microfluidic chip. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Rehan Ali, Mark J. Gooding, Martin Christlieb, Michael Brady 0001 Advanced phase-based segmentation of multiple cells from brightfield microscopy images. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Yu-Len Huang, Yu-Lang Jao, Tsu-Yi Hsieh, Chia-Wei Chung Adaptive Automatic Segmentation of HEp-2 Cells in Indirect Immunofluorescence Images. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Timo Nihtilä Capacity improvement by employing femto cells in a macro cell HSDPA network. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Lelia Festila, Lorant Andras Szolga, Mihaela Cirlugea, Robert Groza Analog Multiplying/Weighting VLSI Cells for SVM Classifiers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weighting circuits, th domain, square-root domain, current controlled amplifiers, analog multipliers
21Young-Il Oh, Sang-Hoon Kim, Jong-Hoon Kim, Chang-Won Kang Effects of Retinoic Acid-induced PKC-d on the Insulin Like Growth Factor-I (IGF-I)System is Involved in Reactive Oxygen Species (ROS) in MCF-7 Cells. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Insulin like growth factor-I(IGF-I), protein kanase C (PKC)-d, Reactive oxigen spesies(ROS), Oxidative stress, Retinoic acid, antioxidents, MCF-7
21Bastien Giraud, Amara Amara Read Stability and Write Ability Tradeoff for 6T SRAM Cells in Double-Gate CMOS. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SRAM cell, Double Gate (DG), Static Noise Margin (SNM), Write Margin (WM)
21Xiangzhi Bai, Changming Sun, Fugen Zhou Touching Cells Splitting by Using Concave Points and Ellipse Fitting. Search on Bibsonomy DICTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Matteo Cacciola, Maurizio Fiasché, Giuseppe Megali, Francesco Carlo Morabito, Mario Versaci A Neural Network Based Classification of Human Blood Cells in a Multiphysic Framework. Search on Bibsonomy ICONIP (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tomohiko Mizutani, Akiko Takeda, Masakazu Kojima Dynamic Enumeration of All Mixed Cells. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21María Paula Bonomini, José Manuel Ferrández de Vicente, Eduardo Fernández 0001 Functional Identification of Retinal Ganglion Cells Based on Neural Population Responses. Search on Bibsonomy IWINAC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Vahid Moalemi, Ali Afzali-Kusha Subthreshold 1-Bit Full Adder Cells in sub-100 nm Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Adriel Ziesemer, Cristiano Lazzari Transistor level automatic layout generator for non-complementary CMOS cells. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Natalie L. Prigozhina, Joseph A. Russo, Alexander Pekurovsky, Hiroki Kita-Matsuo, James W. Clancy, Mark Mercola, Jeffrey H. Price Toward Automated Analyses of Migration and Differentiation in Cultured Human Embryonic Stem Cells. Search on Bibsonomy ISBI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Asaad F. Said, Lina J. Karam, Michael E. Berens, Zoé Lacroix, Rosemary A. Renaut Migration and Proliferation Analysis for Bladder Cancer Cells. Search on Bibsonomy ISBI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Michal Kruk, Stanislaw Osowski, Robert Koktysz Recognition of Colon Cells Using Ensemble of Classifiers. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yoko Yamaguchi, Colin Molter, Zhihua Wu, Harshavardhan A. Agashe, Hiroaki Wagatsuma A Computational Model of Formation of Grid Field and Theta Phase Precession in the Entorhinal Cells. Search on Bibsonomy ICONIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cognitive map, hippocampus, temporal coding, grid cell, theta rhythm
21Horacio G. Rotstein, Tim Oppermann, John A. White, Nancy Kopell The dynamic structure underlying subthreshold oscillatory activity and the onset of spikes in a model of medial entorhinal cortex stellate cells. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reduction of dimensions, Canard, Generalized integrate-and-fire models, Theta rhythm, Hopf bifurcation
21Hongsong Li, Houkuan Huang PMC: Select Materialized Cells in Data Cubes. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF partial materialization, data warehousing, data cube, multidimensional database
21Tadahiko Kimoto Designing Template Cells Suitable for Secret-Sharing Halftone Images by Quantitative Analysis. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Walder Andre, Sylvain Martel Design of Photovoltaic Cells to Power Control Electronics Embedded in Untethered Aqueous Microrobots Propelled by Bacteria. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rod H. Smallwood, Mike Holcombe The Epitheliome Project: multiscale agent-based modeling of epithelial cells. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jinshuo Liu, Peter van der Putten, Ferry Hagen, Xinmeng Chen, Teun Boekhout Detecting Virulent Cells of Cryptococcus Neoformans Yeast: Clustering Experiments. Search on Bibsonomy ICPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ronnie Alves, Orlando Belo On the Computation of Maximal-Correlated Cuboids Cells. Search on Bibsonomy DaWaK The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz A Partitioning Technique for Identification of Error-Capturing Scan Cells in Scan-BIST. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Brinda Prasad, Jong-Sook Iris Choi, Wael M. Badawy A High Throughput Screening Algorithm for Leukemia Cells. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Magnus J. E. Richardson, Ofer Melamed, Gilad Silberberg, Wulfram Gerstner, Henry Markram Short-Term Synaptic Plasticity Orchestrates the Response of Pyramidal Cells and Interneurons to Population Bursts. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF population bursts, cortical microcircuit, dynamic synapses, interneurons
21Kuntal Ghosh, Sandip Sarkar, Kamales Bhaumik A possible mechanism of zero-crossing detection using the concept of the extended classical receptive field of retinal ganglion cells. Search on Bibsonomy Biol. Cybern. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Milind Dawande, H. Neil Geismar, Suresh P. Sethi, Chelliah Sriskandarajah Sequencing and Scheduling in Robotic Cells: Recent Developments. Search on Bibsonomy J. Sched. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cyclic solutions, manufacturing, flexible manufacturing, robotic cell
21Nicolas Cuperlier, Mathias Quoy, Philippe Laroque, Philippe Gaussier Transition Cells and Neural Fields for Navigation and Planning. Search on Bibsonomy IWINAC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Samy Abbes, Albert Benveniste Branching Cells as Local States for Event Structures and Nets: Probabilistic Applications. Search on Bibsonomy FoSSaCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hongsong Li, Houkuan Huang, Shijin Liu PMC: Select Materialized Cells in Data Cubes. Search on Bibsonomy DaWaK The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Mario Limon Mendoza, Victor Hugo Zárate Silva, Jesús Arturo Pérez Díaz An Efficient Algorithm for Localization in Wireless Sensor Networks Based on Internal Array of Nodes within Cells. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Vanessa Long, Robert Dale, Steve Cassidy A Model for Detecting and Merging Vertically Spanned Table Cells in Plain Text Documents. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Andrea Mazzone, Christian P. Spagno, Andreas M. Kunz The HoverMesh: a deformable structure based on vacuum cells: new advances in the research of tangible user interfaces. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2004 DBLP  DOI  BibTeX  RDF HoverMesh, SmartMesh, active structures, free hand haptic device, smart structures, tangible user interface, human computer interface, haptic displays
21Natasha Reeves, Yingkai Liu, Nicole M. Nelson, Suvarcha Malhotra, Makeswaran Loganathan, Jean-Marie Lauestein, Jack Chaiyupatumpa, Elisabeth Smela, Pamela Abshire Integrated MEMS structures and CMOS circuits for bioelectronic interface with single cells. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Josh Yang, Baosheng Wang, André Ivanov Open Defects Detection within 6T SRAM Cells using a No Write Recovery Test Mode. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 6T SRAM, Area Penalty, Write Recovery, Memory testing, Test Time, Open Defects
21Paolo Pavan, Luca Larcher, Massimiliano Cuozzo, Paola Zuliani, Antonino Conte A complete model of E2PROM memory cells for circuit simulations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Marco António Garcia de Carvalho, Roberto de Alencar Lotufo, Michel Couprie Segmentation of Images of Yeast Cells by Scale-Space Analysis. Search on Bibsonomy SIBGRAPI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Petra Perner, Horst Perner, Bernd Müller Texture Classification Based on the Boolean Model and its Application to Hep-2 Cells. Search on Bibsonomy ICPR (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Osvaldo Vargas Jaques, Regina Célia Coelho Neural Cells Synthesis Using L-System. Search on Bibsonomy SIBGRAPI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Tuomas Valtonen, Tero Nurmi, Jouni Isoaho, Hannu Tenhunen Interconnection of autonomous error-tolerant cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Mohammed Sayed, Wael M. Badawy Performance analysis of single-bit full adder cells using 0.18, 0.25, and 0.35 µm CMOS technologies. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Soji Yamakawa, Kenji Shimada Hex-Dominant Mesh Generation with Directionality Control via Packing Rectangular Solid Cells. Search on Bibsonomy GMP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Marina Kolesnik, Alexander Barlit, Evgeny Zubkov Iterative Tuning of Simple Cells for Contrast Invariant Edge Enhancement. Search on Bibsonomy Biologically Motivated Computer Vision The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Mehdi N. Shirazi Orientation Selectivity of Intracortical Inhibitory Cells in the Striate Visual Cortex: A Computational Theory and a Neural Circuitry. Search on Bibsonomy IWANN (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Petra Perner Classificaiton of HEp-2 Cells Using Fluorescent Image Analysis and Data Mining. Search on Bibsonomy ISMDA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Christoph Bauer, Thomas Burger, Elmar Wolfgang Lang A Neural Network Model for the Self-Organization of the Cortical Grating Cells. Search on Bibsonomy IWANN (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Hans-Martin Will Fast and Efficient Computation of Additively Weighted Voronoi Cells for Applications in Molecular Biology. Search on Bibsonomy SWAT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jin-Tai Yan An Optimal ILP Formulation for Minimixing the Number of Feedthrough Cells in Standard Cell Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Seonghun Cho, Sartaj Sahni Minimum area joining of compacted cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Jin-Tai Yan An Efficient Heuristic Approach on Minimizing the Number of Feedthrough Cells in Standard Cell Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Antun Domic Layout Synthesis of MOS Digital Cells. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Mely Chen Chi An Automatic Rectilinear Partitioning Procedure for Standard Cells. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Kun-Nyeong Chang, Ki-Dong Lee, Victor C. M. Leung An efficient local predictive method for distributed timeslot allocation in CDMA/TDD. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Yong Lu, Roni Rosenfeld, Gerard J. Nau, Ziv Bar-Joseph Cross Species Expression Analysis of Innate Immune Response. Search on Bibsonomy RECOMB The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Hamid Ladjal, Jean-Luc Hanus, Anand Pillarisetti, Carol Keefer, Antoine Ferreira, Jaydev P. Desai Atomic force microscopy-based single-cell indentation: Experimentation and finite element simulation. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Shiwei Yan A Statistical Study on Oscillatory Protein Expression. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF p53-Mdm2 interaction, negative feedback loop, sustained and damped oscillatory dynamics
20Ayis Ziotopoulos, Gustavo de Veciana Design and Optimization of Spatial Organizations for Context Exchange and Surveillance. Search on Bibsonomy PerCom Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Hsien-Te Chen, Chieh-Chun Chang, TingTing Hwang New spare cell design for IR drop minimization in Engineering Change Order. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cell, IR drop, decoupling capacitor, ECO
20Ik Joon Chang, Debabrata Mohapatra, Kaushik Roy 0001 A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power SRAM, supply voltage over-scaling, graceful degradation
20Hiroshi Yoshida, Katsuhisa Horimoto, Hirokazu Anai Inference of Probabilities over a Stochastic IL-System by Quantifier Elimination. Search on Bibsonomy Math. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Lindenmayer system with interactions, cell-type diversity, quantifier elimination, algebraic approach
20Yu Huang 0005, Ruifeng Guo, Wu-Tung Cheng, James Chien-Mo Li Survey of Scan Chain Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Bozena Kuraszkiewicz Modeling and Simulation of Airway Tissues Stresses during Pulmonary Recruitment. Search on Bibsonomy Information Technologies in Biomedicine The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Konstantinos D. Dimou, Anders Furuskar On the Use of Uplink Received Signal Strength Measurements for Handover. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Siyan Wang, Lichuan Zhang, Li Jiang, Bingcheng Lin, Qi Wang The Analysis of Chemotherapy Resistance in Human Non-small Cell Lung Cancer Cell Line with an Integrated Microfluidic Device. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GRP78, Microfluidic device, Immunofluorescence, VP-16, Chemotherapy resistance
20Xiting Li, Xiuli Ma, Shiwei Tang, Dongqing Yang Mining Interestingness Sub-cubes in Multi-dimensional Data. Search on Bibsonomy FSKD (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Chung-I Chang, Nancy P. Lin A Crossover-Imaged Clustering Algorithm with Bottom-up Tree. Search on Bibsonomy FSKD (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Song Liu, Jagath C. Rajapakse Protein localization on cellular images with Markov random fields. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Monica Marcuzzo, Pedro Quelhas, Ana Campilho, Ana Maria Mendonça, Aurélio C. Campilho A Hybrid Approach for Arabidopsis Root Cell Image Segmentation. Search on Bibsonomy ICIAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Mingli Han, Yanliang Zhang, Cheng Yap Shee, Tet Fatt Chia, Wei Tech Ang Plant Cell Injection Based on Autofocusing Algorithm. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Mathias Franzius, Roland Vollgraf, Laurenz Wiskott From grids to places. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Entorhinal cortex, Hippocampus, Grid cell, Place cell
20Chang Woo Kang, Ali Iranli, Massoud Pedram A Synthesis Approach for Coarse-Grained Antifuse-Based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 13546 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license