|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3406 occurrences of 1738 keywords
|
|
|
Results
Found 5152 publication records. Showing 5152 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
25 | Keith D. Cooper, L. Taylor Simpson, Christopher A. Vick |
Operator strength reduction. |
ACM Trans. Program. Lang. Syst. |
2001 |
DBLP DOI BibTeX RDF |
loops, static single assignment form, strength reduction |
25 | Francoise Balmas |
Toward a Framework for Conceptual and Formal Outlines of Programs. |
WCRE |
1997 |
DBLP DOI BibTeX RDF |
formal program outlines, conceptual program outlines, program re-documentation, computation localization, code role identification, PRISME system, automatic outline construction, Lisp looping functions, reverse specification, model, reverse engineering, debugging, program understanding, loops |
25 | Salwa K. Abd-El-Hafiz |
Effects of Decomposition Techniques on Knowledge-Based Program Understanding. |
ICSM |
1997 |
DBLP DOI BibTeX RDF |
reverse engineering, Knowledge base, program understanding, slicing, loops, program decomposition |
25 | Jordi Torres, Eduard Ayguadé, Jesús Labarta, Mateo Valero |
Loop Parallelization: Revisiting Framework of Unimodular Transformations. |
PDP |
1996 |
DBLP DOI BibTeX RDF |
linear loop transformations, nonlinear step, transformation process, maximum loop parallelism, perfect nested loops, tight recurrences, MIMD system, parallel algorithms, parallelizing algorithm, parallel programming, graph theory, dependence graph, loop parallelization, optimising compilers, coarse grain parallelism, unimodular transformations |
25 | J. Ramanujam, S. Vasanthakumar |
Statement-level independent partitioning of uniform recurrences. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
statement-level independent partitioning, uniform recurrences, constant dependence distance vectors, statement-level affine schedules, multiple nested loops, parallel programming, linear programming, linear programming, multiprocessor, code generation, synchronisation |
25 | Nelson L. Passos, Edwin Hsing-Mean Sha |
Push-up scheduling: Optimal polynomial-time resource constrained scheduling for multi-dimensional applications. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
Scheduling Resource constraints Multi-dimensional Retiming Nested loops |
25 | Ivan P. Radivojevic, Forrest Brewer |
Analysis of conditional resource sharing using a guard-based control representation. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
conditional resource sharing, guard-based control representation, hardware resources optimisation, conditional data-flow graph behavior, cyclic loops, maximization of throughput, high level synthesis, high level synthesis, systems analysis, software pipelined, data flow graphs |
25 | Yuichi Koike, Yasuyuki Maeda, Yoshiyuki Koseki |
Improving Readability of Iconic Programs with Multiple View Object Representation. |
VL |
1995 |
DBLP DOI BibTeX RDF |
improved iconic program readability, multiple view object representation, iconic programming language, wire intersections, program component, layout flexibility, complicated iconic program, simple iconic programs, iconic programming system, application construction, anti-readability factor reduction, object-oriented programming, graphical user interfaces, visual programming, visual languages, nodes, loops |
25 | Tzung-Shi Chen, Jang-Ping Sheu |
Communication-Free Data Allocation Techniques for Parallelizing Compilers on Multicomputers. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
communication-free data allocation techniques, local memory accesses, array elements, interblock communication, communication-free criteria, duplicate data, partitioned array elements, fixed-size multicomputers, load balancing, parallel programming, program compilers, distributed memory systems, parallelizing compilers, matrix multiplication, multicomputers, interprocessor communication, storage allocation, communication overhead, nested loops, nested loop, parallel execution, heuristic method, distributed memory multicomputers, iteration space |
25 | Ten H. Tzen, Lionel M. Ni |
Trapezoid Self-Scheduling: A Practical Scheduling Scheme for Parallel Compilers. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
processor self-scheduling, trapezoid self-scheduling, parallel nested loops, run-time scheduling overhead, chunk size, Butterfly GP-1000, scheduling, load balancing, parallel programming, memory management, shared-memory multiprocessors, program compilers, shared memory systems, parallel compilers, parallelprograms, dynamic allocation, loop iterations |
22 | Faan Tone Liu |
Nifty Assignments: Optical Illusions Using Loops and Nested Loops. |
SIGCSE (2) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Martin Vodicka, Pavol Zlatos |
The finite embeddability property for IP loops and local embeddability of groups into finite IP loops. |
Ars Math. Contemp. |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Alexander N. Grishkov, Rosemary Miguel Pires |
Variety of loops generated by code loops. |
Int. J. Algebra Comput. |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Petr Vojtechovský |
Bol loops and Bruck loops of order pq up to isotopism. |
Finite Fields Their Appl. |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Alessandro Ricci |
Programming with event loops and control loops - From actors to agents. |
Comput. Lang. Syst. Struct. |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Xinhan Lin, Shouyi Yin, Leibo Liu, Shaojun Wei |
Exploiting parallelism of imperfect nested loops with sibling inner loops on coarse-grained reconfigurable architectures. |
ASP-DAC |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Jianmin Ji, Hai Wan, Peng Xiao 0009 |
On Elementary Loops and Proper Loops for Disjunctive Logic Programs. |
AAAI |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Michael Abraham, Dov M. Gabbay, Uri J. Schild |
The Handling of Loops in Talmudic Logic, with Application to Odd and Even Loops in Argumentation. |
HOWARD-60 |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Antoine Diet, Marjorie Grzeskowiak, Yann Le Bihan, Christophe Conessa |
Improving LF reader antenna volume of detection for RFID token tag thanks to Identical Coaxial Loops (ICLs) and in/out-of phase multiple-loops structures. |
RFID-TA |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Ricardo Menotti |
LALP: a language for parallelism of loops exploitation in reconfigurable computing (LALP: uma linguagem para exploração do paralelismo de loops em computação reconfigurável). |
|
2010 |
RDF |
|
22 | Avner Schlessinger, Jinfeng Liu 0003, Burkhard Rost |
Natively Unstructured Loops Differ from Other Loops. |
PLoS Comput. Biol. |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Tamal K. Dey, Jian Sun 0002, Yusu Wang 0001 |
Approximating loops in a shortest homology basis from point data. |
SCG |
2010 |
DBLP DOI BibTeX RDF |
homology basis, rips complex, topology, point cloud |
22 | Yuriy Brun, Giovanna Di Marzo Serugendo, Cristina Gacek, Holger Giese, Holger M. Kienle, Marin Litoiu, Hausi A. Müller, Mauro Pezzè, Mary Shaw |
Engineering Self-Adaptive Systems through Feedback Loops. |
Software Engineering for Self-Adaptive Systems |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Gogul Balakrishnan, Sriram Sankaranarayanan 0001, Franjo Ivancic, Aarti Gupta |
Refining the control structure of loops using static analysis. |
EMSOFT |
2009 |
DBLP DOI BibTeX RDF |
loop refinement, synchronous sytems, model checking, static analysis, abstract interpretation, program verification, program understanding, path-sensitive analysis |
22 | Juha Partala, Tapio Seppänen |
On the conjugacy search problem and left conjugacy closed loops. |
Appl. Algebra Eng. Commun. Comput. |
2008 |
DBLP DOI BibTeX RDF |
Conjugacy search, Non-associative, Cryptography, Key exchange, Conjugacy problem |
22 | Hongbo Rong, Alban Douillet, Guang R. Gao |
Register allocation for software pipelined multidimensional loops. |
ACM Trans. Program. Lang. Syst. |
2008 |
DBLP DOI BibTeX RDF |
register allocation, Software pipelining |
22 | Gayan Wijesinghe, Victor Ciesielski |
Parameterised Indexed FOR-Loops in Genetic Programming and Regular Binary Pattern Strings. |
SEAL |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Laura Kovács |
Invariant Generation for P-Solvable Loops with Assignments. |
CSR |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Christoph Steigner, Harald Dickel, Tim Keupen |
RIP-MTI: A New Way to Cope with Routing Loops. |
ICN |
2008 |
DBLP DOI BibTeX RDF |
intra-domain routing, RIP-MTI, routing algorithms, communication networks, internetworking, network stability, routing convergence, RIP |
22 | Mohammad Ali Ghodrat, Tony Givargis, Alex Nicolau |
Control flow optimization in loops using interval analysis. |
CASES |
2008 |
DBLP DOI BibTeX RDF |
algorithmic code transformation, compiler loop optimization, interval analysis |
22 | Laura Kovács |
Reasoning Algebraically About P-Solvable Loops. |
TACAS |
2008 |
DBLP DOI BibTeX RDF |
|
22 | René Thiemann, Jürgen Giesl, Peter Schneider-Kamp |
Deciding Innermost Loops. |
RTA |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Christian Lazo Ramírez, Manuel Fernández-Veiga |
Loops prevention in multi-nested mobile networks NEMO. |
EATIS |
2008 |
DBLP DOI BibTeX RDF |
MCoA, nested NEMO, IPv6, network mobility, MIPv6 |
22 | Bernhard Rieder, Peter P. Puschner, Ingomar Wenzel |
Using model checking to derive loop bounds of general loops within ANSI-C applications for measurement based WCET analysis. |
WISES |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Pierre François, Olivier Bonaventure |
Avoiding transient loops during the convergence of link-state routing protocols. |
IEEE/ACM Trans. Netw. |
2007 |
DBLP DOI BibTeX RDF |
link-state routing protocols, loop avoidance, routing, network reliability, routing convergence |
22 | Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao |
Single-dimension software pipelining for multidimensional loops. |
ACM Trans. Archit. Code Optim. |
2007 |
DBLP DOI BibTeX RDF |
Software pipelining, loop transformation, modulo scheduling |
22 | Juan-Antonio Fernández-Madrigal, Cipriano Galindo, E. Cruz-Martin, Ana Cruz-Martín, Javier Gonzalez |
Automatic Regulation of the Information Flow in the Control Loops of a Web Teleoperated Robot. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Anna Beletska, Wlodzimierz Bielecki, Pierluigi San Pietro |
Extracting Coarse-Grained Parallelism in Program Loops with the Slicing Framework. |
ISPDC |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Tristan Denmat, Arnaud Gotlieb, Mireille Ducassé |
An Abstract Interpretation Based Combinator for Modelling While Loops in Constraint Programming. |
CP |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Vincent Danjean, Roland Gillard, Serge Guelton, Jean-Louis Roch, Thomas Roche |
Adaptive loops with kaapi on multicore and grid: applications in symmetric cryptography. |
PASCO |
2007 |
DBLP DOI BibTeX RDF |
parallel STL, workstealing, grid computation, symmetric cryptography, adaptive parallelism |
22 | Francesco Curatelli, Leonardo Mangeruca |
A Method for Computing the Number of Iterations in Data Dependent Loops. |
Real Time Syst. |
2006 |
DBLP DOI BibTeX RDF |
real-time concurrent systems, loop analysis, iterations' number's prediction, execution time evaluation, timing verification, system synthesis, difference equations, high-level specification |
22 | Jiming Ma, Haibin Zhu, Wenqian Shang |
The Construction Approach of Regular Expressions from Finite Automata Including Multi-Node Loops. |
IEEE ICCI |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Larissa Meinicke, Ian J. Hayes |
Reasoning Algebraically About Probabilistic Loops. |
ICFEM |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Ana Busic, Mouad Ben Mamoun, Jean-Michel Fourneau |
Modeling Fiber Delay Loops in an All Optical Switch. |
QEST |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Lizheng Zhang, Jeng-Liang Tsai, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen |
Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Sam Jeong, Kun Hee Han, Young Park |
A Combined Technique of Non-uniform Loops. |
GPC |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Thomas Erickson, Wendy A. Kellogg, Mark Laff, Jeremy B. Sussman, Tracee Vetting Wolf, Christine A. Halverson, Denise Edwards |
A persistent chat space for work groups: the design, evaluation and deployment of loops. |
Conference on Designing Interactive Systems |
2006 |
DBLP DOI BibTeX RDF |
online environments, design, CSCW, CMC, awareness, instant messaging, conversation, chat, IM, social proxy |
22 | Herminio Martínez, Eva Vidal, Eduard Alarcón, Alberto Poveda |
Improving the stability of on-chip automatic tuning loops for continuous-time filters with an analog adaptive controller. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Yuhua Cheng, Xiaobo Wu, Xiaolang Yan |
Translinear Loop Principle and Identification of the Translinear Loops. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Hongbo Rong, Alban Douillet, Guang R. Gao |
Register allocation for software pipelined multi-dimensional loops. |
PLDI |
2005 |
DBLP DOI BibTeX RDF |
register allocation, software pipelining |
22 | Dong Wang, Cedric Nishan Canagarajah, David R. Bull |
Slice group based multiple description video coding with three motion compensation loops. |
ISCAS (2) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Chun Xue, Zili Shao, Meilin Liu, Mei Kang Qiu, Edwin Hsing-Mean Sha |
Optimizing Nested Loops with Iterational and Instructional Retiming. |
EUC |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao |
Single-Dimension Software Pipelining for Multi-Dimensional Loops. |
CGO |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Wayne Pfeiffer |
Memory Performance Model for Loops and Kernels on Power3 Processors. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Shiro Uesugi |
E-Business for Depopulated Areas: Why not "Re-Bundle" Local Loops? |
SAINT Workshops |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Wlodzimierz Bielecki, Rafal Kocisz |
A Modified Vertex Method for Parallelization of Arbitrary Nested Loops. |
PARELEC |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Sam Jin Jeong |
Maximizing Parallelism for Nested Loops with Non-uniform Dependences. |
ICCSA (4) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Alejandro Duran, Raúl Silvera, Julita Corbalán, Jesús Labarta |
Runtime Adjustment of Parallel Nested Loops. |
WOMPAT |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon |
Time Optimal Software Pipelining of Loops with Control Flows. |
Int. J. Parallel Program. |
2003 |
DBLP DOI BibTeX RDF |
compiler optimization, instruction-level parallelism, software pipelining, VLIW |
22 | Jirong Liao, Weng-Fai Wong, Tulika Mitra |
A Model for Hardware Realization of Kernel Loops. |
FPL |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Volodymyr Beletskyy, Krzysztof Siedlecki |
Finding Free Schedules for Non-uniform Loops. |
Euro-Par |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Gregory S. Johnson, Simha Sethumadhavan |
Compiler Directed Parallelization of Loops in Scale for Shared-Memory Multiprocessors. |
International Conference on Computational Science |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Eric Borch, Eric Tune, Srilatha Manne, Joel S. Emer |
Loose Loops Sink Chips. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Bin Xiao 0001, Zili Shao, Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Qingfeng Zhuge |
Optimal Code Size Reduction for Software-Pipelined and Unfolded Loops. |
ISSS |
2002 |
DBLP DOI BibTeX RDF |
rotation scheduling, software pipelining, retiming, unfolding |
22 | Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon |
Optimal software pipelining of loops with control flows. |
ICS |
2002 |
DBLP DOI BibTeX RDF |
instruction-level parallelism, software pipelining, VLIW |
22 | Tetsuya Kobayashi, Luonan Chen, Kazuyuki Aihara |
Design of Genetic Switches with Only Positive Feedback Loops. |
CSB |
2002 |
DBLP DOI BibTeX RDF |
monotone dynamical system, stability, delay, switch, genetic network |
22 | María J. Martín, David E. Singh, Juan Touriño, Francisco F. Rivera |
Exploiting Locality in the Run-Time Parallelization of Irregular Loops. |
ICPP |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Qingfeng Zhuge, Zili Shao, Edwin Hsing-Mean Sha |
Optimal Code Size Reduction for Software-Pipelined Loops on DSP Applications. |
ICPP |
2002 |
DBLP DOI BibTeX RDF |
Scheduling, Software pipelining, Retiming, DSP processors |
22 | Nicholas G. Hall, Chelliah Sriskandarajah, Tharmarajah Ganesharajah |
Operational Decisions in AGV-Served Flowshop Loops: Fleet Sizing and Decomposition. |
Ann. Oper. Res. |
2001 |
DBLP DOI BibTeX RDF |
fleet sizing, decomposition, manufacturing, automated guided vehicles |
22 | Smita Bakshi, Daniel Gajski |
Performance-constrained hierarchical pipelining for behaviors, loops, and operations. |
ACM Trans. Design Autom. Electr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
DSP (digital signal processing) systems, hierarchical pipelining, pipelined systems, scheduling, component selection, loop pipelining |
22 | Kalyan Muthukumar, Gautam Doshi |
Software Pipelining of Nested Loops. |
CC |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon |
A First Step Towards Time Optimal Software Pipelining of Loops with Control Flows. |
CC |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Kuei-Ping Shih, Chua-Huang Huang, Jang-Ping Sheu |
Communication-Free Partitioning of Nested Loops. |
Compiler Optimizations for Scalable Parallel Systems Languages |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Keith A. Jenkins, James P. Eckhardt |
Measuring Jitter and Phase Error in Microprocessor Phase-Locked Loops. |
IEEE Des. Test Comput. |
2000 |
DBLP DOI BibTeX RDF |
|
22 | T. L. Freeman, David J. Hancock, J. Mark Bull, Rupert W. Ford |
Feedback Guided Scheduling of Nested Loops. |
PARA |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Yijun Yu, Erik H. D'Hollander |
Partitioning Loops with Variable Dependence Distances. |
ICPP |
2000 |
DBLP DOI BibTeX RDF |
dependence equation, pseudo distance matrix, uni-modular transformation, iteration space partitioning 1, loop parallelization, distance vector |
22 | José Mira, Ana E. Delgado García |
Reveberating Loops of Information as a Dynamic Mode of Functional Organization of the N. S.: A Working Conjecture. |
IWANN (1) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Lea Hwang Lee, Jeff Scott, Bill Moyer, John Arends |
Low-Cost Branch Folding for Embedded Applications with Small Tight Loops. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Sylvain Lelait, Guang R. Gao, Christine Eisenbeis |
A New Fast Algorithm for Optimal Register Allocation in Modulo Scheduled Loops. |
CC |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Christian Lengauer, Sergei Gorlatch, Christoph A. Herrmann |
The Static Parallelization of Loops and Recursions. |
J. Supercomput. |
1997 |
DBLP DOI BibTeX RDF |
polytope model, parallelization, skeletons, divide-and-conquer, homomorphism, SPMD, higher-order function, loop nest |
22 | Chih-Ping Chu, Doris L. Carver |
Reordering the Statements with Dependence Cycles to Improve the Performance of Parallel Loops. |
ICPADS |
1997 |
DBLP DOI BibTeX RDF |
Parallelism Exploitation, Dependence Cycles, Statement Reordering, Parallelization, Parallelizing Compilers |
22 | Ding-Kai Chen, Pen-Chung Yew |
On Effective Execution of Nonuniform DOACROSS Loops. |
IEEE Trans. Parallel Distributed Syst. |
1996 |
DBLP DOI BibTeX RDF |
scheduling, parallelism, synchronization, data dependence, loop parallelization, Compiler transformation |
22 | David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy |
A Method for Register Allocation to Loops in Multiple Register File Architectures. |
IPPS |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Anant Agarwal, David A. Kranz, Venkat Natarajan |
Automatic Partitioning of Parallel Loops and Data Arrays for Distributed Shared-Memory Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
Automatic loop partitioning, minimizing communication, compilers, shared-memory multiprocessors, tiling |
22 | Martin Griebl, Jean-Francois Collard |
Generation of Synchronous Code for Automatic Parallelization of while Loops. |
Euro-Par |
1995 |
DBLP DOI BibTeX RDF |
|
22 | Peiyi Tang, Pen-Chung Yew, Chuan-Qi Zhu |
Compiler techniques for data synchronization in nested parallel loops. |
ICS |
1990 |
DBLP DOI BibTeX RDF |
|
22 | Richard C. Waters |
Using obviously synchronizable series expressions instead of loops. |
ICCL |
1988 |
DBLP DOI BibTeX RDF |
|
22 | Harmen L. A. van der Spek, C. W. Mattias Holm, Harry A. G. Wijshoff |
How to unleash array optimizations on code using recursive data structures. |
ICS |
2010 |
DBLP DOI BibTeX RDF |
control flow optimization, pointer optimization, recursive data structures, restructuring compilers |
22 | Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl |
Considering possible opens in non-tree topology wire delay calculation. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
non-tree topologies, yield, static timing analysis, delay analysis |
22 | Suhyun Kim, Soo-Mook Moon |
Rotating Register Allocation for Enhanced Pipeline Scheduling. |
PACT |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Yixin Shou, Robert A. van Engelen, Johnnie Birch, Kyle A. Gallivan |
Toward efficient flow-sensitive induction variable analysis and dependence testing for loop optimization. |
ACM Southeast Regional Conference |
2006 |
DBLP DOI BibTeX RDF |
loop parallelization, recurrences, induction variables, compiler algorithms |
22 | A. Allam, J. Ramanujam, Gerald Baumgartner, P. Sadayappan |
Memory minimization for tensor contractions using integer linear programming. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Feng Chen 0006, Grigore Rosu |
Parametric and Termination-Sensitive Control Dependence. |
SAS |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Arun Kejariwal, Alexandru Nicolau, Constantine D. Polychronopoulos |
History-aware Self-Scheduling. |
ICPP |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Xiaoming Yu, Miron Abramovici |
Sequential circuit ATPG using combinational algorithms. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Alain Cardon, Jean-Charles Campagne, Mickaël Camus |
A Self-adapting System Generating Intentional Behavior and Emotions. |
WRAC |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Guilherme N. DeSouza, Avinash C. Kak |
A subsumptive, hierarchical, and distributed vision-based architecture for smart robotics. |
IEEE Trans. Syst. Man Cybern. Part B |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai |
A cost-driven compilation framework for speculative parallelization of sequential programs. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization |
22 | Georgios I. Goumas, Maria Athanasaki, Nectarios Koziris |
An Efficient Code Generation Technique for Tiled Iteration Spaces. |
IEEE Trans. Parallel Distributed Syst. |
2003 |
DBLP DOI BibTeX RDF |
nonunimodular transformations, code generation, Loop tiling, Fourier-Motzkin elimination, supernodes |
22 | Tula Ram Ban, Dibakar Sen |
Graph based topological analysis of tessellated surfaces. |
Symposium on Solid Modeling and Applications |
2003 |
DBLP DOI BibTeX RDF |
cut lines, simplical complex, characterization, computational topology, surface classification |
Displaying result #201 - #300 of 5152 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|