The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manycore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2008 (54) 2009 (24) 2010 (44) 2011 (35) 2012 (83) 2013 (52) 2014 (104) 2015 (66) 2016 (51) 2017 (65) 2018 (75) 2019 (52) 2020 (38) 2021 (39) 2022 (23) 2023 (23) 2024 (7)
Publication types (Num. hits)
article(210) book(2) incollection(7) inproceedings(559) phdthesis(51) proceedings(6)
Venues (Conferences, Journals, ...)
MCSoC(81) CoRR(41) IFMT(23) DATE(17) IPDPS(15) PARMA-DITAM@HiPEAC(12) DAC(11) J. Supercomput.(11) Euro-Par(10) RACES@SPLASH(10) ASP-DAC(9) ICCAD(9) ICCS(9) Concurr. Comput. Pract. Exp.(8) HPCS(8) ICPP(8) More (+10 of total 285)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 140 occurrences of 102 keywords

Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Matheus S. Serpa, Eduardo H. M. Cruz, Matthias Diener, Arthur M. Krause, Philippe O. A. Navaux, Jairo Panetta, Albert Farrés, Claudia Rosas, Mauricio Hanzich Optimization strategies for geophysics models on manycore systems. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Alexandre Denis 0001, Julien Jaeger, Emmanuel Jeannot, Marc Pérache, Hugo Taboada Study on progress threads placement and dedicated cores for overlapping MPI nonblocking collectives on manycore processor. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Daniel S. Abdi, Francis X. Giraldo, Emil M. Constantinescu, Lester E. Carr, Lucas C. Wilcox, Timothy C. Warburton Acceleration of the IMplicit-EXplicit nonhydrostatic unified model of the atmosphere on manycore processors. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Enzo Rucci, Carlos García Sánchez 0001, Guillermo Botella Juan, Armando De Giusti, Marcelo R. Naiouf, Manuel Prieto-Matías SWIMM 2.0: Enhanced Smith-Waterman on Intel's Multicore and Manycore Architectures Based on AVX-512 Vector Extensions. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Shaolong Chen, Miquel Àngel Senar Exploring efficient data parallelism for genome read mapping on multicore and manycore architectures. Search on Bibsonomy Parallel Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Han Zhao 0005, Quan Chen 0002, Yuxian Qiu, Ming Wu 0007, Yao Shen, Jingwen Leng, Chao Li 0009, Minyi Guo Bandwidth and Locality Aware Task-stealing for Manycore Architectures with Bandwidth-Asymmetric Memory. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Aryan Deshwal, Nitthilan Kannappan Jayakodi, Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande MOOS: A Multi-Objective Design Space Exploration and Optimization Framework for NoC Enabled Manycore Systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Eliza Wszola, Celestine Mendler-Dünner, Martin Jaggi, Markus Püschel On Linear Learning with Manycore Processors. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Sergiy Popovych, Davit Buniatyan, Aleksandar Zlateski, Kai Li 0001, H. Sebastian Seung PZnet: Efficient 3D ConvNet Inference on Manycore CPUs. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Somnath Mazumdar, Alberto Scionti Ring-Mesh: A Scalable and High-Performance Approach for Manycore Accelerators. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Alexander Brandt, Davood Mohajerani, Marc Moreno Maza, Jeeva Paudel, Lin-Xiao Wang A Technique for Finding Optimal Program Launch Parameters Targeting Manycore Accelerators. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Wenzhe Li, Bingli Guo, Xin Li 0041, Yu Zhou 0037, Shanguo Huang, George N. Rouskas A large-scale nesting ring multi-chip architecture for manycore processor systems. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Feiyang Liu, Haibo Zhang 0001, Yawen Chen 0001, Zhiyi Huang 0001, Huaxi Gu Wavelength-Reused Hierarchical Optical Network on Chip Architecture for Manycore Processors. Search on Bibsonomy IEEE Trans. Sustain. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Raquel Lazcano, Daniel Madroñal, Himar Fabelo, Samuel Ortega, Rubén Salvador, Gustavo Marrero Callicó, Eduardo Juárez Martínez, César Sanz Adaptation of an Iterative PCA to a Manycore Architecture for Hyperspectral Image Processing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Shuhei Kudo, Toshiyuki Imamura Cache-efficient implementation and batching of tridiagonalization on manycore CPUs. Search on Bibsonomy HPC Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Sven Rheindt, Sebastian Maier, Florian Schmaus, Thomas Wild, Wolfgang Schröder-Preikschat, Andreas Herkersdorf SHARQ: Software-Defined Hardware-Managed Queues for Tile-Based Manycore Architectures. Search on Bibsonomy SAMOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Akshay Srivatsa, Sven Rheindt, Dirk Gabriel, Thomas Wild, Andreas Herkersdorf CoD: Coherence-on-Demand - Runtime Adaptable Working Set Coherence for DSM-Based Manycore Architectures. Search on Bibsonomy SAMOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Ann Gordon-Ross, Saleh Abdel-Hafeez, Mohamad Hammam Alsafrjalani A One-Cycle FIFO Buffer for Memory Management Units in Manycore Systems. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Felix Thaler, Stefan Moosbrugger, Carlos Osuna, Mauro Bianco, Hannes Vogt, Anton Afanasyev, Lukas Mosimann, Oliver Fuhrer, Thomas C. Schulthess, Torsten Hoefler Porting the COSMO Weather Model to Manycore CPUs. Search on Bibsonomy PASC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Seung-Jun Cha, Seung Hyup Jeon, Ramneek, Yeon Jeong Jeong, Jin-Mee Kim, Sungin Jung Space Sharing Effects on Manycore Systems. Search on Bibsonomy ICACT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16June-Hyung Kim, Jangwoong Kim, Hyeongu Kang, Chang-Gyu Lee, Sungyong Park, Youngjae Kim 0001 pNOVA: Optimizing Shared File I/O Operations of NVM File System on Manycore Servers. Search on Bibsonomy APSys The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande Design and Optimization of Heterogeneous Manycore Systems Enabled by Emerging Interconnect Technologies: Promises and Challenges. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Alessandro Cilardo, Mirko Gagliardi, Vincenzo Scotti 0002 Lightweight hardware support for selective coherence in heterogeneous manycore accelerators. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Antonio Franques Challenges and opportunities of wireless network-on-chip for manycore architectures. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Eliza Wszola, Celestine Mendler-Dünner, Martin Jaggi, Markus Püschel On Linear Learning with Manycore Processors. Search on Bibsonomy HiPC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Yuedan Chen, Guoqing Xiao 0001, Fan Wu, Zhuo Tang Towards Large-Scale Sparse Matrix-Vector Multiplication on the SW26010 Manycore Architecture. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Ming Dun, Yunchun Li, Hailong Yang, Wei Li 0125, Zhongzhi Luan, Depei Qian swCPD: Optimizing Canonical Polyadic Decomposition on Sunway Manycore Architecture. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Junshi Chen, Hong An, Binghui Yan, Weihao Liang, Xin Liu 0081 Redesign NAMD Molecular Dynamics Non-Bonded Force-Field on Sunway Manycore Processor. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Constantin Pohl, Kai-Uwe Sattler Parallelization of Massive Multiway Stream Joins on Manycore CPUs. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Chang-Gyu Lee, Hyunki Byun, Sunghyun Noh, Hyeongu Kang, Youngjae Kim 0001 Write optimization of log-structured flash file system for parallel I/O on manycore servers. Search on Bibsonomy SYSTOR The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Nicolas Melot, Christoph W. Kessler, Patrick Eitschberger, Jörg Keller 0001 Co-Optimizing Core Allocation, Mapping and DVFS in Streaming Programs with Moldable Tasks for Energy Efficient Execution on Manycore Architectures. Search on Bibsonomy ACSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Paul Bogdan, Fan Chen 0001, Aryan Deshwal, Janardhan Rao Doppa, Biresh Kumar Joardar, Hai (Helen) Li, Shahin Nazarian, Linghao Song, Yao Xiao Taming extreme heterogeneity via machine learning based design of autonomous manycore systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Leonard Masing, Fabian Lesniak, Jürgen Becker 0001 Hybrid Prototyping for Manycore Design and Validation. Search on Bibsonomy ARC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Seung-Hyub Jeon, Seung-Jun Cha, Cha Ramneek, Yeon Jeong Jeong, Jin-Mee Kim, Sungin Jung Deployment and Evaluation of Azalea multi-kernel for manycore. Search on Bibsonomy ICTC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Sergiy Popovych, Davit Buniatyan, Aleksandar Zlateski, Kai Li 0001, H. Sebastian Seung PZnet: Efficient 3D ConvNet Inference on Manycore CPUs. Search on Bibsonomy CVC (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Austin Rovinski, Chun Zhao, Khalid Al-Hawaj, Paul Gao 0001, Shaolin Xie, Christopher Torng, Scott Davidson 0004, Aporva Amarnath, Luis Vega, Bandhav Veluri, Anuj Rao, Tutu Ajayi, Julian Puscar, Steve Dai, Ritchie Zhao, Dustin Richmond, Zhiru Zhang, Ian Galton, Christopher Batten, Michael B. Taylor, Ronald G. Dreslinski A 1.4 GHz 695 Giga Risc-V Inst/s 496-Core Manycore Processor With Mesh On-Chip Network and an All-Digital Synthesized PLL in 16nm CMOS. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Vijeta Rathore, Vivek Chaturvedi, Amit Kumar Singh 0002, Thambipillai Srikanthan, Muhammad Shafique 0001 Towards Scalable Lifetime Reliability Management for Dark Silicon Manycore Systems. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Riadh Ben Abdelhamid, Yoshiki Yamaguchi, Taisuke Boku MITRACA: Manycore Interlinked Torus Reconfigurable Accelerator Architecture. Search on Bibsonomy ASAP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Kengo Nakajima, Balazs Gerofi, Yutaka Ishikawa, Masashi Horikoshi Parallel Multigrid Methods on Manycore Clusters with IHK/McKernel. Search on Bibsonomy ScalA@SC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jinn-Pean Lin, Jing Lu 0003, Jian Cai 0001, Aviral Shrivastava Efficient Heap Data Management on Software Managed Manycore Architectures. Search on Bibsonomy VLSID The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Vimuth Fernando, Antonio Franques, Sergi Abadal, Sasa Misailovic, Josep Torrellas Replica: A Wireless Manycore for Communication-Intensive and Approximate Data. Search on Bibsonomy ASPLOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Venkata Yaswanth Raparti, Sudeep Pasricha Lightweight Mitigation of Hardware Trojan Attacks in NoC-based Manycore Computing. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Benoît Dupont de Dinechin Consolidating High-Integrity, High-Performance, and Cyber-Security Functions on a Manycore Processor. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jintaek Kang, Dowhan Jung, Kwanghyun Chung, Soonhoi Ha Fast Performance Estimation and Design Space Exploration of Manycore-based Neural Processors. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jing Lu 0003 Application-aware Performance Optimization for Software Managed Manycore Architectures. Search on Bibsonomy 2019   RDF
16Dalal Sukkari High Performance Polar Decomposition on Manycore Systems and its application to Symmetric Eigensolvers and the Singular Value Decomposition. Search on Bibsonomy 2019   RDF
16Sébastien Le Beux, Paul V. Gratz, Ian O'Connor Guest Editorial: Emerging Technologies and Architectures for Manycore Computing Part 1: Hardware Techniques. Search on Bibsonomy IEEE Trans. Multi Scale Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Mike Davies, Narayan Srinivasa, Tsung-Han Lin, Gautham N. Chinya, Yongqiang Cao, Sri Harsha Choday, Georgios D. Dimou, Prasad Joshi, Nabil Imam, Shweta Jain 0005, Yuyun Liao, Chit-Kwan Lin, Andrew Lines, Ruokun Liu, Deepak Mathaikutty, Steven McCoy, Arnab Paul, Jonathan Tse, Guruguhanathan Venkataramanan, Yi-Hsin Weng, Andreas Wild, Yoonseok Yang, Hong Wang Loihi: A Neuromorphic Manycore Processor with On-Chip Learning. Search on Bibsonomy IEEE Micro The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yuxuan Xing, Zhiguang Chen, Nong Xiao, Fang Liu 0002, Yutong Lu Graph Analytics on Manycore Memory Systems. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Adwaya Kulkarni, Adam Page, Nasrin Attaran, Ali Jafari, Maria Malik, Houman Homayoun, Tinoosh Mohsenin An Energy-Efficient Programmable Manycore Accelerator for Personalized Biomedical Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Lukasz Szustak Strategy for data-flow synchronizations in stencil parallel computations on multi-/manycore systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Jaroslaw Bylina Parallelization of stochastic bounds for Markov chains on multicore and manycore platforms. Search on Bibsonomy J. Supercomput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Alessandro Capotondi, Andrea Marongiu, Luca Benini Runtime Support for Multiple Offload-Based Programming Models on Clustered Manycore Accelerators. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Héctor Martínez, Sergio Barrachina 0001, Maribel Castillo, Joaquín Tárraga, Ignacio Medina, Joaquín Dopazo, Enrique S. Quintana-Ortí A framework for genomic sequencing on clusters of multicore and manycore processors. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis. Search on Bibsonomy Computer The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Zhonghai Lu, Yuan Yao 0009 Thread Voting DVFS for Manycore NoCs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Wonje Choi 0001, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Dalal Sukkari, Hatem Ltaief, Mathieu Faverge, David E. Keyes Asynchronous Task-Based Polar Decomposition on Single Node Manycore Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sameh Abdulah, Hatem Ltaief, Ying Sun 0002, Marc G. Genton, David E. Keyes ExaGeoStat: A High Performance Unified Software for Geostatistics on Manycore Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16José Flich, Giovanni Agosta, Philipp Ampletzer, David Atienza Alonso, Carlo Brandolese, Etienne Cappe, Alessandro Cilardo, Leon Dragic, Alexandre Dray, Alen Duspara, William Fornaciari, Edoardo Fusella, Mirko Gagliardi, Gerald Guillaume, Daniel Hofman, Ynse Hoornenborg, Arman Iranfar, Mario Kovac, Davide Zoni Exploring manycore architectures for next-generation HPC systems through the MANGO approach. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Namhyung Kim, Junwhan Ahn, Kiyoung Choi, Daniel Sánchez 0003, Donghoon Yoo, Soojung Ryu Benzene: An Energy-Efficient Distributed Hybrid Cache Architecture for Manycore Systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Robin Bonamy, Sébastien Bilavarn, Fabrice Muller, François Duhem, Simon Heywood, Philippe Millet, Fabrice Lemonnier Energy efficient mapping on manycore with dynamic and partial reconfiguration: Application to a smart camera. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sameh Abdulah, Hatem Ltaief, Ying Sun 0002, Marc G. Genton, David E. Keyes Tile Low-Rank Approximation of Large-Scale Maximum Likelihood Estimation on Manycore Architectures. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu Learning-based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Moritz Kreutzer, Georg Hager, Dominik Ernst, Holger Fehske, Alan R. Bishop, Gerhard Wellein Chebyshev Filter Diagonalization on Modern Manycore Processors and GPGPUs. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Linpeng Tang, Yida Wang 0003, Theodore L. Willke, Kai Li 0001 Scheduling Computation Graphs of Deep Learning Models on Manycore CPUs. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Shaolin Xie, Michael B. Taylor The BaseJump Manycore Accelerator Network. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Olfa Haggui, Claude Tadonki, Lionel Lacassagne, Fatma Sayadi, Bouraoui Ouni Harris corner detection on a NUMA manycore. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Keqin Li Scheduling parallel tasks with energy and time constraints on multiple manycore processors in a cloud computing environment. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Imen Debbabi, Bertrand Le Gal, Nadia Khouja, Fethi Tlili, Christophe Jégo Multicore and Manycore Implementations of ADMM-based Decoders for LDPC Decoding. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Andreas Kurth, Alessandro Capotondi, Pirmin Vogel, Luca Benini, Andrea Marongiu HERO: an open-source research platform for HW/SW exploration of heterogeneous manycore systems. Search on Bibsonomy ANDARE@PACT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Shin-Haeng Kang, Jintaek Kang, Soonhoi Ha Fast parallel simulation of a manycore architecture with a flit-level on-chip network model. Search on Bibsonomy SAMOS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sávio Salvarino Teles de Oliveira, Vagner José do Sacramento Rodrigues, Laerte G. Ferreira, Wellington S. Martins P-TWDTW: Parallel Processing of Time Series Remote Sensing Images Using Manycore Architectures. Search on Bibsonomy WSCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Richard Tran Mills, Vamsi Sripathi, Jitendra Kumar 0001, Sarat Sreepathi, Forrest M. Hoffman, William W. Hargrove Parallel k-Means Clustering of Geospatial Data Sets Using Manycore CPU Architectures. Search on Bibsonomy ICDM Workshops The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Eduardo H. M. Cruz, Matthias Diener, Matheus S. Serpa, Philippe Olivier Alexandre Navaux, Laércio Lima Pilla, Israel Koren Improving Communication and Load Balancing with Thread Mapping in Manycore Systems. Search on Bibsonomy PDP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Vijeta Rathore, Vivek Chaturvedi, Amit Kumar Singh 0002, Thambipillai Srikanthan, R. Rohith, Siew-Kei Lam, Muhammad Shafique 0001 HiMap: A hierarchical mapping approach for enhancing lifetime reliability of dark silicon manycore systems. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Michael McKeown, Alexey Lavrov, Mohammad Shahrad, Paul J. Jackson, Yaosheng Fu, Jonathan Balkind, Tri Minh Nguyen 0003, Katie Lim, Yanqi Zhou, David Wentzlaff Power and Energy Characterization of an Open Source 25-Core Manycore Processor. Search on Bibsonomy HPCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sven Rheindt, Andreas Schenk, Akshay Srivatsa, Thomas Wild, Andreas Herkersdorf CaCAO: Complex and Compositional Atomic Operations for NoC-Based Manycore Platforms. Search on Bibsonomy ARCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Nicolas Sourbier, Jean-François Nezan, Cyril Tasse, Julien Hascoet Optimization of Calibration Algorithms on a Manycore Embedded Platform. Search on Bibsonomy SiPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Moritz Kreutzer, Dominik Ernst, Alan R. Bishop, Holger Fehske, Georg Hager, Kengo Nakajima, Gerhard Wellein Chebyshev Filter Diagonalization on Modern Manycore Processors and GPGPUs. Search on Bibsonomy ISC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Emmanuel Podestá Jr., Bruno Marques do Nascimento, Márcio Castro 0001 Energy Efficient Stencil Computations on the Low-Power Manycore MPPA-256 Processor. Search on Bibsonomy Euro-Par The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Alexandre Denis 0001, Julien Jaeger, Emmanuel Jeannot, Marc Pérache, Hugo Taboada Dynamic Placement of Progress Thread for Overlapping MPI Non-blocking Collectives on Manycore Processor. Search on Bibsonomy Euro-Par The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ramneek, Seung-Jun Cha, Seung-Hyub Jeon, Yeon Jeong Jeong, Jin-Mee Kim, Sungin Jung Analysis of Linux Kernel Packet Processing on Manycore Systems. Search on Bibsonomy TENCON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Jasmin Jahic, Matthias Jung 0001, Thomas Kuhn 0001, Claus Kestel, Norbert Wehn A Framework for Non-intrusive Trace-driven Simulation of Manycore Architectures with Dynamic Tracing Configuration. Search on Bibsonomy RV The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Nesma M. Rezk, Madhura Purnaprajna, Zain Ul-Abdin Streaming Tiles: Flexible Implementation of Convolution Neural Networks Inference on Manycore Architectures. Search on Bibsonomy IPDPS Workshops The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Hoby Rakotoarivelo, Franck Ledoux Accurate Manycore-Accelerated Manifold Surface Remesh Kernels. Search on Bibsonomy IMR The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Chit-Kwan Lin, Andreas Wild, Gautham N. Chinya, Tsung-Han Lin, Mike Davies, Hong Wang Mapping spiking neural networks onto a manycore neuromorphic architecture. Search on Bibsonomy PLDI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Changxi Liu, Biwei Xie, Xin Liu 0081, Wei Xue, Hailong Yang, Xu Liu 0001 Towards Efficient SpMV on Sunway Manycore Architectures. Search on Bibsonomy ICS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Seung-Hyub Jeon, Seung-Jun Cha, Ramneek, Yeon Jeong Jeong, Jin-Mee Kim, Sungin Jung Azalea-Unikernel: Unikernel into Multi-kernel Operating System for Manycore Systems. Search on Bibsonomy ICTC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Haoran Li 0002, Zhongyuan Tian, Rafael K. V. Maeda, Xuanqi Chen, Jun Feng 0008, Jiang Xu 0001 Co-manage power delivery and consumption for manycore systems using reinforcement learning. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu Hybrid on-chip communication architectures for heterogeneous manycore systems. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande Machine learning for design space exploration and optimization of manycore systems. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Siyuan Ren, Shizhen Xu, Guangwen Yang A Parallel Quicksort Algorithm on Manycore Processors in Sunway TaihuLight. Search on Bibsonomy ICCS (3) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Noboru Tanabe, Toshio Endo Exhaustive evaluation of memory-latency sensitivity on manycore processors with large cache. Search on Bibsonomy HP3C The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Lahir Marni, Morteza Hosseini, Tinoosh Mohsenin MC3A: Markov Chain Monte Carlo ManyCore Accelerator. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ali Jafari, Morteza Hosseini, Adwaya Kulkarni, Chintan Patel, Tinoosh Mohsenin BiNMAC: Binarized neural Network Manycore ACcelerator. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Olfa Haggui, Claude Tadonki, Fatma Sayadi, Bouraoui Ouni Evaluation of an OPENMP Parallelization of Lucas-Kanade on a NUMA-Manycore. Search on Bibsonomy SBAC-PAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yuankun Fu, Feng Li 0025, Fengguang Song, Luoding Zhu Designing a Parallel Memory-Aware Lattice Boltzmann Algorithm on Manycore Systems. Search on Bibsonomy SBAC-PAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sudeep Pasricha, Davide Bertozzi, Hui Li 0034 Special session on overcoming reliability and energy-efficiency challenges with silicon photonics for future manycore computing. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 835 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license