The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multipliers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1975 (15) 1976-1980 (18) 1981-1984 (20) 1985-1988 (24) 1989-1990 (20) 1991 (16) 1992-1993 (32) 1994 (18) 1995 (39) 1996 (28) 1997 (27) 1998 (40) 1999 (58) 2000 (47) 2001 (76) 2002 (74) 2003 (105) 2004 (101) 2005 (139) 2006 (151) 2007 (117) 2008 (166) 2009 (91) 2010 (55) 2011 (56) 2012 (66) 2013 (73) 2014 (79) 2015 (91) 2016 (79) 2017 (94) 2018 (133) 2019 (110) 2020 (108) 2021 (119) 2022 (125) 2023 (137) 2024 (31)
Publication types (Num. hits)
article(1370) book(1) data(2) incollection(14) inproceedings(1381) phdthesis(10)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1366 occurrences of 709 keywords

Results
Found 2778 publication records. Showing 2778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Atsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh Systematic design of high-radix Montgomery multipliers for RSA processors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Kenny Johansson, Oscar Gustafsson, Lars Wanhammar Switching activity estimation for shift-and-add based constant multipliers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Jimson Mathew, Jawar Singh, Abusaleh M. Jabir, Mohammad Hosseinabady, Dhiraj K. Pradhan Fault tolerant bit parallel finite field multipliers using LDPC codes. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Jimson Mathew, Costas Argyrides, Abusaleh M. Jabir, Hafizur Rahaman 0001, Dhiraj K. Pradhan Single Error Correcting Finite Field Multipliers Over GF(2m). Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Galois Field Multiplier, VLSI, Cryptography, Error Correcting Codes
20Henrik Eriksson Dependability Evaluation of Time-Redundancy Techniques in Integer Multipliers. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Jiun-Ping Wang, Shiann-Rong Kuang Area-Efficient Signed Fixed-Width Multipliers with Low-Error Compensation Circuit. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Yin-Tsung Hwang, Jin-Fa Lin, Ming-Hwa Sheu, Chia-Jen Sheu Low Power Multipliers Using Enhenced Row Bypassing Schemes. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Jamshid Shokrollahi, Elisa Gorla, Christoph Puttmann Efficient FPGA-based multipliers for F_3^97 and F_3^(6*97). Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Nathaniel Ross Pinckney, David Money Harris Parallelized radix-4 scalable montgomery multipliers. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptography, RSA, Montgomery Multiplication
20Ruzica Jevtic, Carlos Carreras, Gabriel Caffarena Switching Activity Models for Power Estimation in FPGA Multipliers. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan Constant Function Independent Test Set for Fault Detection in Bit Parallel Multipliers in GF(2^m). Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Samir Hamaci, Jean-Louis Boimond, Sébastien Lahaye Modeling and Control of Hybrid Timed Event Graphs with Multipliers Using (Min, +) Algebra. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hybrid timed event graph, (min, +) algebra, Just-in-time control
20Mustafa Gök, Michael J. Schulte, Mark G. Arnold Integer Multipliers with Overflow Detection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-speed arithmetic algorithms, overflow detection, Computer arithmetic, multiplication, combinational logic
20Yen-Chin Liao, Hsie-Chia Chang, Chih-Wei Liu Carry Estimation for Two's Complement Fixed-Width Multipliers. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Gordana Jovanovic-Dolecek, M. A. Perez-Xochicale One Method for Design of Wide-band FIR Filters Without Multipliers. Search on Bibsonomy CONIELECOMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20George Economakos, Kostas Anagnostopoulos Bit level architectural exploration technique for the design of low power multipliers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Zih-Heng Chen, Ming-Haw Jing, Jian-Hong Chen, Yaotsu Chang New viewpoint of bit-serial/parallel normal basis multipliers using irreducible all-one polynomial. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Paul Bougas, Andreas Tsirikos, Kostas Anagnostopoulos, Isidoros Sideris, Kiamal Z. Pekmestzi Segmentation based design of serial parallel multipliers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Yoo-Jin Baek, Mi-Jung Noh DPA-Resistant Finite Field Multipliers and Secure AES Design. Search on Bibsonomy ISPEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hayssam El-Razouk, Zine Abid Area and Power Efficient Array and Tree Multipliers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Masahiro Iwahashi, Dang Khoa Dang, Masahiro Ohnishi, Somchart Chokchaitam A new structure of integer DCT least sensitive to finite word length expression of multipliers. Search on Bibsonomy ICIP (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20E. George Walters III, Michael J. Schulte Efficient Function Approximation Using Truncated Multipliers and Squarers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Ravi Kumar Satzoda, Chip-Hong Chang VLSI Performance Evaluation and Analysis of Systolic and Semisystolic Finite Field Multipliers. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Jianbing Li 0003, Zhongxia Niu, Dongfiang Zhou, Yujie Shi Analysis of series-parallel resonant converter with multipliers. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Min-An Song, Lan-Da Van, Chih-Chyau Yang, Shih-Chieh Chiu, Sy-Yen Kuo A framework for the design of error-aware power-efficient fixed-width Booth multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Arash Reyhani-Masoleh, M. Anwar Hasan Efficient digit-serial normal basis multipliers over binary extension fields. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF security, finite field, normal basis, Digit-serial multiplier
20Yoshinobu Tonomura, Somchart Chokchaitam, Masahiro Iwahashi Minrmum hardware implementation of multipliers of the lifting wavelet transform. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Zhaoshui He, Shengli Xie, Yuli Fu 0001 An approach to blind separation based on penalty function with multipliers. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Mitchell J. Myjak, José G. Delgado-Frias Pipelined Multipliers for Reconfigurable Hardware. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Kazuya Ishida, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 Design and Verification of Parallel Multipliers Using Arithmetic Description Language: ARITH. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Andrew G. Dempster, Malcolm D. Macleod Using all signed-digit representations to design single integer multipliers using subexpression elimination. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Moboluwaji O. Sanu, Earl E. Swartzlander Jr., Craig M. Chase Parallel Montgomery Multipliers. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
20Satish K. Bandapati, Scott C. Smith, Minsu Choi Design and Characterization of Null Convention Self-Timed Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Masahiro Iwahashi, Osamu Nishida, Somchart Chokchaitam, Noriyoshi Kambayashi Optimum word length allocation for multipliers of integer DCT. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Shugang Wei, Kensuke Shimizu Modulo (2p ± 1) multipliers using a three-operand modular addition and Booth recoding based on signed-digit number arithmetic. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Anuja Sehgal, Vikram Iyengar, Mark D. Krasniewski, Krishnendu Chakrabarty Test cost reduction for SOCs using virtual TAMs and lagrange multipliers. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bandwidth matching, automatic test equipment (ATE), test access mechanism (TAM), scan chains, system-on-chip (SOC)
20Carl James Debono, Franco Maloberti, Joseph Micallef On the design of low-voltage, low-power CMOS analog multipliers for RF applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 A New Architecture for Signed Radix-2m Pure Array Multipliers. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Maziar Tavakoli Dastjerdi, Rahul Sarpeshkar A low-noise nonlinear feedback technique for compensating offset in analog multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Arash Reyhani-Masoleh, M. Anwar Hasan Efficient digit-serial normal basis multipliers over GF(2m). Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20A. Laratta, F. Zironi Computation of Lagrange Multipliers for Linear Least Squares Problems with Equality Constraints. Search on Bibsonomy Computing The full citation details ... 2001 DBLP  DOI  BibTeX  RDF AMS Subject Classifications: 65K05, 90C31
20C. Diaz-Goano, Peter Dimitrov Minev, Krishnaswamy Nandakumar A Lagrange Multipliers/Fictitious Domain Approach for Particulate Flow. Search on Bibsonomy LSSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Tetsushi Ueta, Shigeki Tsuji, Tetsuya Yoshinaga, Hiroshi Kawakami Calculation of the isocline for the fixed point with a specified argument of complex multipliers. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Vincent F. Koosh, Rodney M. Goodman VLSI neural network with digital weights and analog multipliers. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Vassilis Paliouras, Thanos Stouraitis Novel high-radix residue number system multipliers and adders. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Mihalis Psarakis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian An Effective BIST Architecture for Sequential Fault Testing in Array Multipliers. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Kwame Osei Boateng, Hiroshi Takahashi, Yuzo Takamatsu Design of C-Testable Multipliers Based on the Modified Booth Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF modified Booth Algorithm, c-testable design, design for testability, multiplier, exhaustive testing, cell fault model
20Uwe Sparmann, Sudhakar M. Reddy On the effectiveness of residue code checking for parallel two's complement multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Angela Kunoth Multilevel preconditioning - Appending boundary conditions by Lagrange multipliers. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF AMS subject classification 15A12, 41A17, 65N30, 41A63, 65F35, 49M29, 46E35
20Jang Dae Kim, Shiu-Kai Chin Formal Verification of Serial Pipeline Multipliers. Search on Bibsonomy TPHOLs The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Paolo Montuschi, Luigi Ciminiera n × n carry-save multipliers without final addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Atef Ibrahim, Fayez Gebali, Hamed Elsimary, Amin M. Nassar Processor Array Architectures for Scalable Radix 4 Montgomery Modular Multiplication Algorithm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF low power modular multipliers, scalability, cryptography, Montgomery multiplication, secure communications, Processor array
16Alexander Shapiro 0001 Semidefinite Programming: Optimality Conditions and Stability. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tangent cone, Complementarity condition, Stability, Sensitivity analysis, Semidefinite programming, Duality, Lagrange multipliers, Nondegeneracy
16John N. Hooker Integer Programming: Lagrangian Relaxation. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Lagrangian dual, Integer programming, Branch and bound, Lagrangian relaxation, Lagrange multipliers
16Franco Giannessi Theorems of the Alternative and Optimization. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Theorem of the alternative, Transposition theorem, Lagrange function, Dual problem, Lagrange multipliers, Image space, Separation theorems, Dual space
16Franco Giannessi Image Space Approach to Optimization. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Separation functions, Lagrange function, Separation, Sufficient condition, Lagrange multipliers, Euler equation, Necessary conditions, Image space
16Tania Maia Querido, Dukwon Kim Lagrange, Joseph-Louis. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Lagrange multipliers, Calculus of variations
16Vaithilingam Jeyakumar, S. Srisatkunarajah Lagrange multiplier necessary conditions for global optimality for non-convex minimization over a quadratic constraint via S-lemma. Search on Bibsonomy Optim. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Smooth non-convex minimization, Difference of quadratic and convex functions, Single quadratic constraint, Global optimality, Lagrange multipliers, Fractional programs
16Han Chen, Pasquale Malacaria Quantifying maximal loss of anonymity in protocols. Search on Bibsonomy AsiaCCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF anonymity, quantitative analysis, Lagrange multipliers
16Levent Aksoy, Diego Jaccottet, Eduardo Costa 0001 Design of low complexity digital FIR filters. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-level synthesis, multiple constant multiplications, multiplierless filter design, high-level synthesis, array multipliers
16Igor Griva, Roman A. Polyak 1.5-Q-superlinear convergence of an exterior-point method for constrained optimization. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Nonlinear rescaling, Multipliers method, duality, Primal-dual, Augmented Lagrangian
16Gabriel Caffarena, Juan A. López, Gerardo Leyva, Carlos Carreras, Octavio Nieto-Taladriz Optimized Architectural Synthesis of Fixed-Point Datapaths. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedded Multipliers, Fixed-Point, Architectural Synthesis
16Roman A. Polyak, Shen-Shyang Ho, Igor Griva Support vector machine via nonlinear rescaling method. Search on Bibsonomy Optim. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Support vector machine, Duality, Convex optimization, Lagrange multipliers
16Paavo Kukkurainen Fuzzy Subgroups with Meet Operation in the Connection of Möbius Transformations. Search on Bibsonomy IFSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fixed points and multipliers of Möbius transformations, conjugation, fuzzy subgroups, meet operation, stabilizers
16Maria Jesus Lopez-Herrero A maximum entropy approach for the busy period of the M/G/1 retrial queue. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Busy period, Lagrange multipliers, Retrial queue, Laplace transforms, Maximum entropy principle
16Igor Griva, Roman A. Polyak Primal-dual nonlinear rescaling method with dynamic scaling parameter update. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nonlinear rescaling, Lagrangian, multipliers method, duality, primal-dual
16Lucas Andrew Milner, Gabriel A. Rincón-Mora A novel predictive inductor multiplier for integrated circuit DC-DC converters in portable applications. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF active ripple filters, inductor multipliers, integrated inductors, power management
16Colleen O'Rourke, Berk Sunar Achieving NTRU with Montgomery Multiplication. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Montgomery multipliers, Cryptography, finite fields, Montgomery multiplication, NTRU, unified architectures
16John J. Benedetto, Matthew Fickus Finite Normalized Tight Frames. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF tight frames, potential energy, equidistribution, equilibrium, Lagrange multipliers
16Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi Noise tolerant low voltage XOR-XNOR for fast arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR-XNOR circuits, multipliers, noise tolerant, deep submicron, nanometer technology
16Dieter Gollmann Equally Spaced Polynomials, Dual Bases, and Multiplication in F2n. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Equally spaced polynomials, dual bases, multipliers for {rm F}_{2^n}, trace
16Lorenzo Héctor Juárez, Roland Glowinski, Tsorng-Whay Pan Numerical Simulation of the Sedimentation of Rigid Bodies in an Incompressible Viscous Fluid by Lagrange Multiplier/Fictitious Domain Methods Combined with the Taylor-Hood Finite Element Approximation. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fictitious domain, distributed Lagrange multipliers, moving rigid bodies, operator splitting, finite element, Navier-Stokes equations
16William L. Freking, Keshab K. Parhi Performance-Scalable Array Architectures for Modular Multiplication. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF modular multipliers, systolic computation, high-radix arithmetic implementation, pipelined architectures
16Elmor L. Peterson The Fundamental Relations between Geometric Programming Duality, Parametric Programming Duality, and Ordinary Lagrangian Duality. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ordinary programming, post-optimality analysis, conjugate transformation, Legendre transformation, dual cones, orthogonal complementary subspaces, recession directions, separable optimization, sub-optimization, optimization, convex optimization, Lagrange multipliers, geometric programming, orthogonal projection, duality theory, parametric programming
16Sorin Cotofana, Stamatis Vassiliadis Signed Digit Addition and Related Operations with Threshold Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF signed-digit arithmetic, redundant adders, redundant multipliers, neural networks, Computer arithmetic, threshold logic, carry-free addition, signed-digit number representation
16Reto Zimmermann Efficient VLSI Implementation of Modulo (2^n=B11) Addition and Multiplication. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Modulo (2^n=B11) adders and multipliers, end-around-carry parallel-prefix adders, IDEA cipher, cryptography, computer arithmetic, RNS, VLSI circuits
16Mary E. Helander, Ming Zhao, Niclas Ohlsson Planning Models for Software Reliability and Cost. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Budget constraints, cleanroom software development, Budget-Constrained Reliability-Maximization (BCRM) Model*, cost planning, failure intensity, reliability allocation, Reliability-Constrained Cost-Minimization (RCCM) Model*, software reliability. (*Terms introduced in this paper.), linear programming, software components, certification, nonlinear programming, COCOMO, operational profile, Lagrangian multipliers
16Martine D. F. Schlag, F. Joel Ferguson Detection of Multiple Faults in Two-Dimensional ILAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testing, functional testing, multipliers, multiple faults, Iterative logic arrays
16Irith Pomeranz, Sudhakar M. Reddy On the Number of Tests to Detect All Path Delay Faults in Combinational Logic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Lower bound on test set size, pipelining, multipliers, path delay faults, resynthesis
16Elio D. Di Claudio, Francesco Piazza, Gianni Orlandi Fast Combinatorial RNS Processors for DSP Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Binary multipliers, mixed radix arithmetic, pseudo-residue, VLSI processors, parallel architectures, digital signal processing, systolic arrays, residue number system
16George A. Hadgis, P. R. Mukund A novel CMOS monolithic analog multiplier with wide input dynamic range. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analogue multipliers, circuit feedback, CMOS monolithic analog multiplier, input dynamic range, voltage-controlled variable linear resistor, feedback network, PSpice simulation results, circuit analysis computing, linearity, SPICE, operational amplifiers, operational amplifier, CMOS analogue integrated circuits
16Dan Zuras More On Squaring and Multiplying Large Integers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF large integers, FFT multipliers, digital arithmetic, multiplying, multiplying circuits, squaring, data handling
16Jos van Sas, Chay Nowé, Didier Pollet, Francky Catthoor, Paul Vanoostende, Hugo De Man Design of a C-testable booth multiplier using a realistic fault model. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF test generation, design for testability, fault modelling, Array multipliers, C-testability
16Anthony J. McAuley Four State Asynchronous Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF four state asynchronous architectures, asynchronous wavefront array, one-dimensional multipliers, two-dimensional sorter, reliability, throughput, sequential circuits, many-valued logics, design complexity, asynchronous sequential logic
16Homayoon Sam, Arupratan Gupta A Generalized Multibit Recoding of Two's Complement Binary Numbers and Its Proof with Application in Multiplier Implementations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fixed coefficient multiplication, controlled coefficient multiplication, multibit recoding algorithm, signed two's complement binary numbers, radix 2/sup k/, very high speed adders, hardware parallel multipliers, 5-bit recoding, performance, computer arithmetic, digital arithmetic, multiplying circuits, signed-digit representation
16Sally G. Smith Incremental Computation of Squares and Sums of Squares. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF bit-sequential operation, symmetry properties, incremental multipliers, hardware modules, digit-on-line algorithm, magnitude extraction operations, plane vectors, digital arithmetic, scalar multiplication, hardware architectures, incremental algorithm, sums of squares
16Janak H. Patel, Leona Y. Fung Concurrent Error Detection in Multiply and Divide Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF RESO, multipliers, concurrent error detection, dividers, Cellular logic
16Sebastián Dormido 0001, M. A. Canto An Upper Bound for the Synthesis of Generalized Parallel Counters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF parallel counter networks, Digital counters, multiple input adders, parallel counters, fast multipliers
16Hung Chi Lai, Saburo Muroga Logic Networks of Carry-Save Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF parallel adder in double-rail input logic, Carry?save adders, input bundles, multioperand adders, NAND gates, NOR gates, output bundles, logic design, multipliers, full adders
16Daniel Gajski Parallel Compressors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF carry-shower counters, elementary logic functions, multiple-operand addition, content- addressable memory, high-speed arithmetic, parallel counters, Associative processors, fast multipliers
16Hideaki Kobayashi, Hiroyoshi Ohara A Synthesizing Method for Large Parallel Counters with a Network of Smaller Ones. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Array logics, carry-shower counters, digital counters, multiple-input adders, parallel-counter networks, parallel counters, associative processors, fast multipliers
16William J. Stenzel, William J. Kubitz, Gilles H. Garcia A Compact High-Speed Parallel Multiplication Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF generalized counters, partial-product reduction, fast multipliers, Binary multiplication
16Paul W. Baker Parallel Multiplicative Algorithms for Some Elementary Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Continued products and sums, parallel m-bit multipliers, digital arithmetic, iterative algorithms, elementary functions
15Husain Parvez, Zied Marrakchi, Habib Mehrez Heterogeneous-ASIF: an application specific inflexible FPGA using heterogeneous logic blocks (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asif, fpga, architecture, application specific, cad
15Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Ajay Kumar Verma, Seyed-Hosein Attarzadeh-Niaki, Chrysostomos Nicopoulos, Frank K. Gürkaynak, Philip Brisk, Yusuf Leblebici, Paolo Ienne Field Programmable Compressor Trees: Acceleration of Multi-Input Addition on FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Field Programmable Compressor Tree (FPCT), compressor tree, Field Programmable Gate Array (FPGA)
15Ira Neitzel, Uwe Prüfert, Thomas Slawig Strategies for time-dependent PDE control with inequality constraints using an integrated modeling and simulation environment. Search on Bibsonomy Numer. Algorithms The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parabolic PDEs, Integrated modeling and simulation environments, Optimal control, Inequality constraints
15Alexandre Belloni, Claudia A. Sagastizábal Dynamic bundle methods. Search on Bibsonomy Math. Program. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 90C25, 90C27, 65K05
15Majid Ahmadi, Ashkan Hosseinzadeh Namin, Karl Leboeuf, Huapeng Wu Artificial neural networks activation function HDL coder. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Márcia Barros de Sales, Ricardo Azambuja Silveira, André Barros de Sales, Rita de Cássia Guarezi Learning by Peers: An Alternative Learning Model for Digital Inclusion of Elderly People. Search on Bibsonomy WCCE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Learning by peers, third age digital inclusion
Displaying result #201 - #300 of 2778 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license