The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for parasitics with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1992 (15) 1993-1997 (16) 1998-2000 (25) 2001-2002 (16) 2003 (16) 2004 (18) 2005 (23) 2006 (24) 2007 (22) 2008-2009 (20) 2010-2014 (15) 2015-2018 (19) 2019-2022 (20) 2023-2024 (3)
Publication types (Num. hits)
article(64) inproceedings(185) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 128 occurrences of 97 keywords

Results
Found 252 publication records. Showing 252 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Hua Tang, Hui Zhang 0057, Alex Doboli Layout-Aware Analog System Synthesis Based on Symbolic Layout Description and Combined Block Parameter Exploration, Placement and Global Routing. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chao You, Jong-Ru Guo, Russell P. Kraft, Michael Chu, Robert W. Heikaus, Okan Erdogan, Peter F. Curran, Bryan S. Goda, Kuan Zhou, John F. McDonald 0001 Gigahertz FPGA by SiGe BiCMOS Technology for Low Power, High Speed Computing with 3-D Memory. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Venkatesan Rajappan, Sachin S. Sapatnekar An Efficient Algorithm for Calculating the Worst-case Delay due to Crosstalk. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Eugenio Culurciello, Andreas G. Andreou An 8-bit, 1mW successive approximation ADC in SOI CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Hua Tang, Hui Zhang 0057, Alex Doboli Synthesis of continuous-time filters and analog to digital converters by integrated constraint transformation, floorplanning and routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?? modulator, continuous-time filter, synthesis
12M. C. Scott, M. O. Peralta, Jo Dale Carothers System and Framework for QA of Process Design Kits. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Kris Tiri, Ingrid Verbauwhede Securing Encryption Algorithms against DPA at the Logic Level: Next Generation Smart Card Technology. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Massimo Alioto, Gaetano Palumbo Analysis and comparison on full adder block in submicron technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Padmini Gopalakrishnan, Altan Odabasioglu, Lawrence T. Pileggi, Salil Raje An analysis of the wire-load model uncertainty problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Li Ding 0002, Pinaki Mazumder Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Patrick Groeneveld Physical Design Challenges for Billion Transistor Chips. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12J. R. Moritz, Y. Sun Automatic tuning of high frequency, high Q, multiple loop feedback bandpass filters. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Manuel A. d'Abreu Noise-Its Sources, and Impact on Design and Test of Mixed Signal Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Jaesik Lee, Ki-Wook Kim, Sung-Mo Kang VeriCDF: a new verification methodology for charged device failures. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, modeling, reliability
12K'Andrea C. Bickerstaff, Earl E. Swartzlander Jr., Michael J. Schulte Analysis of Column Compression Multipliers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Håkan Bengtson, Christer Svensson 3V CMOS 0.35 µ transimpedance receiver for optical applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Design, Verification, and Test of a True Single-Phase 8-bit Adiabatic Multiplier. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Nagaraj Ns, Poras T. Balsara, Cyrus D. Cantrell Crosstalk Noise Verification in Digital Designs with Interconnect Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Martin Bächtold, Mirko Spasojevic, Christian Lage, Per B. Ljung A system for full-chip and critical net parasitic extraction for ULSI interconnects using a fast 3-D field solver. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Tuyen V. Nguyen, Anirudh Devgan, Ognen J. Nastov, David W. Winston Transient sensitivity computation in controlled explicit piecewiselinear simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Mohamed Dessouky, Marie-Minerve Louërat, Jacky Porte Layout-Oriented Synthesis of High Performance Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Antonio G. M. Strollo, Ettore Napoli, Davide De Caro New clock-gating techniques for low-power flip-flops. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF flip-fops, CMOS digital integrated circuits, transition probability, low-power circuits
12Payam Heydari, Massoud Pedram Analysis and Optimization of Ground Bounce in Digital CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Byungwoo Choi, D. M. H. Walker Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect coupling, delay fault model, process variation, timing analysis, delay fault test
12Jeegar Tilak Shah, Madhav P. Desai, Sugata Sanyal Inductance Characterization of Small Interconnects Using Test-Signal Method. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test-Signal Injection Method, Differential Circuit, Short Interconnects, Self and Mutual-Inductance, High Frequency Test Signal, Displacement Current, Lumped Package Models, Inductance, Characterization, Transmission Lines, Capacitance, Substrate
12Yingxin Pang, Florin Balasa, Koen Lampaert, Chung-Kuan Cheng Block placement with symmetry constraints based on the O-tree non-slicing representation. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Sudhakar Muddu, Egino Sarto On switch factor based analysis of coupled RC interconnects. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
12Michael W. Beattie, Lawrence T. Pileggi Error bounds for capacitance extraction via window techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Lun Ye, Foong-Charn Chang, Peter Feldmann, Rakesh Chadha, Nagaraj Ns, Frank Cano Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Mattan Kamon, Steve McCormick, Ken Sheperd Interconnect parasitic extraction in the digital IC design methodology. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Alper Demir 0001, Peter Feldmann Modeling and simulation of the interference due to digital switching in mixed-signal ICs. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Bernard N. Sheehan TICER: realizable reduction of extracted RC circuits. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Nagaraj Ns, Frank Cano, Sudha Thiruvengadam, Deepak Kapoor Performance and Reliability Verification of C6201/C6701 Digital Signal Processors. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12F. C. M. Kuijstermans, F. M. Diepstraten, Wouter A. Serdijn, Pieter van der Kloet, Arie van Staveren, Arthur H. M. van Roermund The linear time-varying approach applied to a first-order dynamic translinear filter. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Mark J. W. Rodwell, Q. Lee, Dino Mensa, J. Guthrie, Yoram Betser, S. C. Martin, R. P. Smith, S. Jaganathan, Thomas Mathew, P. Krishnan, C. Serhan, Stephen I. Long Ultra high frequency integrated circuits using transferred substrate heterojunction bipolar transistors. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Mircea R. Stan Optimal Voltages and Sizing for Low Power. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jinsong Zhao, Wayne Wei-Ming Dai, Sharad Kapur, David E. Long Efficient Three-Dimensional Extraction Based on Static and Full-Wave Layered Green's Functions. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconstruction, emulation, visibility, functional simulation
12Bogdan G. Arsintescu, Edoardo Charbon, Enrico Malavasi, Umakanta Choudhury, William H. Kao General AC Constraint Transformation for Analog ICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
12Tuyen V. Nguyen, Anirudh Devgan, Ognen J. Nastov Adjoint Transient Sensitivity Computation in Piecewise Linear Simulation. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
12Enrico Malavasi, Edoardo Charbon, Eric Felt, Alberto L. Sangiovanni-Vincentelli Automation of IC layout with analog constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli Digital sensitivity: predicting signal interaction using functional analysis. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout synthesis, Crosstalk, sensitivity, signal integrity
12N. P. van der Meijs, T. Smedes Accurate interconnect modeling: towards multi-million transistor chips as microwave circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Physical Design Verification, Interconnect Resistance Extraction, Interconnect Capacitance Extraction, Substrate Resistance Extraction, Interconnect Modeling
12Enrico Malavasi, Davide Pandini Optimum CMOS stack generation with analog constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Seok-Yoon Kim, Nanda Gopal, Lawrence T. Pillage Time-domain macromodels for VLSI interconnect analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli Constraint-based channel routing for analog and mixed analog/digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Enrico Malavasi, Alberto L. Sangiovanni-Vincentelli Area routing for analog layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12John Y. Lee, Xiaoli Huang, Ronald A. Rohrer Pole and zero sensitivity calculation in asymptotic waveform evaluation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Luís Miguel Silveira, Jacob K. White 0001, Horácio C. Neto, Luís M. Vidigal On exponential fitting for circuit simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12J. Paul Harvey, Mohamed I. Elmasry, Bosco Leung STAIC: an interactive framework for synthesizing CMOS and BiCMOS analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12B. Lokanathan, Edwin Kinnen Performance optimized floor planning by graph planarization. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Shun-Lin Su, Vasant B. Rao, Timothy N. Trick HPEX: A Hierarchical Parasitic Circuit Extractor. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12R. D. Freeman, S. M. Kang, C. G. Lin-Hendel, M. L. Newby Automated extraction of SPICE circuit models from symbolic gate matrix layout with pruning. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF SPICE
Displaying result #201 - #252 of 252 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license