The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for prefetching with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1989 (15) 1990-1991 (17) 1992-1993 (23) 1994 (20) 1995 (30) 1996 (52) 1997 (51) 1998 (55) 1999 (67) 2000 (66) 2001 (92) 2002 (96) 2003 (92) 2004 (118) 2005 (139) 2006 (107) 2007 (113) 2008 (104) 2009 (82) 2010 (72) 2011 (49) 2012 (49) 2013 (58) 2014 (50) 2015 (49) 2016 (56) 2017 (63) 2018 (71) 2019 (51) 2020 (58) 2021 (45) 2022 (60) 2023 (45) 2024 (7)
Publication types (Num. hits)
article(639) book(2) incollection(6) inproceedings(1448) phdthesis(27)
Venues (Conferences, Journals, ...)
CoRR(60) MICRO(55) ISCA(45) ICS(38) IEEE Trans. Computers(37) ICCD(36) IPDPS(35) HPCA(30) ASPLOS(29) IEEE Trans. Parallel Distribut...(29) Euro-Par(22) ICPP(22) J. Supercomput.(21) SIGMETRICS(20) IEEE PACT(18) PACT(18) More (+10 of total 716)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1652 occurrences of 756 keywords

Results
Found 2122 publication records. Showing 2122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Rogério Ferreira Rodrigues, Luiz Fernando Gomes Soares A Framework for Prefetching Mechanisms in Hypermedia Presentations. Search on Bibsonomy ISMSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Jinwoo Kim, Krishna V. Palem, Weng-Fai Wong A Framework for Data Prefetching Using Off-Line Training of Markovian Predictors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Artour Stoutchinin, José Nelson Amaral, Guang R. Gao, James C. Dehnert, Suneel Jain, Alban Douillet Speculative Prefetching of Induction Pointers. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Data prefetching by dependence graph precomputation. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44David M. Koppelman Neighborhood Prefetching on Multiprocessors Using Instruction History. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Sathiamoorthy Manoharan, Kim See-Mu A Hardware Scheme for Data Prefetching. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Tamer I. Ibrahim, Cheng-Zhong Xu 0001 Neural Nets based Predictive Prefetching to Tolerate WWW Latency. Search on Bibsonomy ICDCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Athena Vakali Evolutionary Prefetching and Caching in an Independent Storage Units Model. Search on Bibsonomy ADVIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44S.-M. Kim, Sathiamoorthy Manoharan A Parallel Processor Architecture for Prefetching. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Chandra Krintz, Brad Calder, Urs Hölzle Reducing Transfer Delay Using Java Class File Splitting and Prefetching. Search on Bibsonomy OOPSLA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Java
44Ahmed Mostefaoui, Lionel Brunie Multimedia Prefetching Strategy for News-On-Demand Applications. Search on Bibsonomy DEXA Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF News-On-Demand systems, Annotation database, video document, Buffer management, Video server
44Vladimir Vlassov, Lars-Erik Thorelli Analytical Models of Multithreading with Data Prefetching. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Beng-Hong Lim, Ricardo Bianchini Limits on the Performance Benefits of Multithreading and Prefetching. Search on Bibsonomy SIGMETRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
43Gopal Pandurangan, Eli Upfal Entropy-based bounds for online algorithms. Search on Bibsonomy ACM Trans. Algorithms The full citation details ... 2007 DBLP  DOI  BibTeX  RDF list accessing, caching, entropy, prefetching, Online algorithms, stochastic process, performance bounds
43Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization
43Alexandros Nanopoulos, Dimitrios Katsaros 0001, Yannis Manolopoulos Exploiting Web Log Mining for Web Cache Enhancement. Search on Bibsonomy WEBKDD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Prediction, Association rules, Prefetching, Web Caching, Web Log Mining
43Sunil Kim, Alexander V. Veidenbaum The Effect of Limited Network Bandwidth and its Utilization by Latency Hiding Techniques in Large-Scale Shared Memory Systems. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF software cache coherence, interconnection network, prefetching, shared memory systems, network bandwidth, weak consistency
41Pedro Diaz, Marcelo Cintra Stream chaining: exploiting multiple levels of correlation in data prefetching. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data prefetching
41Qiong Zou, Xiao-Feng Li, Long-Bing Zhang Runtime Engine for Dynamic Profile Guided Stride Prefetching. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stride prefetching, runtime system, dynamic profiling
41Sibren Isaacman, Margaret Martonosi Potential for collaborative caching and prefetching in largely-disconnected villages. Search on Bibsonomy Wireless Networks and Systems for Developing Regions The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caching, prefetching, delay tolerant networking
41Zhijie Ban, Zhimin Gu, Yu Jin 0003 An online PPM prediction model for web prefetching. Search on Bibsonomy WIDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF noncompact suffix tree, entropy, PPM, web prefetching
41Eunsam Kim, Jonathan C. L. Liu Time-aware prefetching for on-demand video services in a residential service gateway. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Networked multimedia applications, Residential service gateway, On-demand video service, Fiber channel arbitrated loop (FC-AL), Prefetching
41Yudith Cardinale, Jesús De Oliveira, Carlos Figueira Remote Class Prefetching: Improving Performance of Java Applications on Grid Platforms. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Class prefetching, Distributed applications, Computational Grids, Collaborative platforms, Java applications
41Ivan T. Bowman, Kenneth Salem Optimization of query streams using semantic prefetching. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF query streams, Prefetching
41Nancy Tran, Daniel A. Reed Automatic ARIMA Time Series Modeling for Adaptive I/O Prefetching. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive prefetching, wavelets, time series analysis, pattern analysis, access patterns, least squares methods, Input/output, performance modeling and prediction
41Zhong Wang, Timothy W. O'Neil, Edwin Hsing-Mean Sha Minimizing Average Schedule Length under Memory Constraints by Optimal Partitioning and Prefetching. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF scheduling, partitioning, memory, prefetching, DSP architectures
41Addison Chan, Rynson W. H. Lau, Beatrice Ng A hybrid motion prediction method for caching and prefetching in distributed virtual environments. Search on Bibsonomy VRST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF virtual walkthrough, caching, prefetching, distributed virtual environments, 3D navigation, motion prediction
41Qiang Yang 0001, Henry Haining Zhang, Tianyi Li 0001 Mining web logs for prediction models in WWW caching and prefetching. Search on Bibsonomy KDD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Application to Caching and Prefetching on the WWW, World Wide Web (WWW), Web Log Mining
41Nancy Tran, Daniel A. Reed ARIMA time series modeling and forecasting for adaptive I/O prefetching. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modeling, times series, prefetching, I/O, access pattern
41Fei Chen, Timothy W. O'Neil, Edwin Hsing-Mean Sha Optimizing Overall Loop Schedules Using Prefetching and Partitioning. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scheduling, partitioning, Prefetching, retiming, latency-hiding
41Tae-uk Choi, Young-Ju Kim, Ki-Dong Chung A prefetching scheme based on the analysis of user access patterns in news-on-demand system. Search on Bibsonomy ACM Multimedia (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF caching, prefetching, NOD
40Chi-Hung Chi, Chi-Sum Ho, Siu-Chung Lau Reducing memory latency using a small software driven array cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF small software driven array cache, data references, array reference, nonarray reference, data cache designs, cache space, cache control mechanisms, array references, data cache performance, hardware driven data prefetching scheme, software driven cache design, array cache, low runtime overhead, performance evaluation, data structures, compiler, programming, programming, prefetching, program compilers, cache storage, cache performance, temporal locality, spatial locality, memory latency
39Xian-He Sun, Surendra Byna, Yong Chen 0001 Server-Based Data Push Architecture for Multi-Processor Environments. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, evaluation, performance measurement, cache memory, simulation of multiple-processor system
39Weifeng Zhang 0003, Brad Calder, Dean M. Tullsen A Self-Repairing Prefetcher in an Event-Driven Dynamic Optimization Framework. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Juan Fang, Xiaocui Wang A Prefetching Coordinate Algorithm Which Can Be Used in Multi-core Processors. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetching cooordinator, multi-processor prefetching, prefetch, multi-core processor
38Tracy Kimbrel, Anna R. Karlin Near-Optimal Parallel Prefetching and Caching. Search on Bibsonomy FOCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF near-optimal parallel prefetching/caching, integrated prefetching/caching, fixed-size cache, backing storage devices, parallel disk case, reverse aggressive algorithm, near-optimal performance, model, algorithms, magnetic disc storage, multiple disks
36Hsiao-Hsi Wang, Kuan-Ching Li, Ssu-Hsuan Lu, Chun-Chieh Yang Towards implementation of a novel scheme for data prefetching on distributed shared memory systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Adaptive data classification scheme, Effective prefetch strategy, Distributed shared memory
36Xi E. Chen, Tor M. Aamodt Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Roy Sai-chuen Ho, Cho-Li Wang, Francis Chi-Moon Lau Lightweight process migration and memory prefetching in openMosix. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Stanislav A. Belogolov, Jiyong Park, Jungkeun Park, Seongsoo Hong Scheduler-Assisted Prefetching: Efficient Demand Paging for Embedded Systems. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Yong Chen 0001, Surendra Byna, Xian-He Sun, Rajeev Thakur, William Gropp Hiding I/O latency with pre-execution prefetching for parallel applications. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Seung Woo Son 0001, Mahmut T. Kandemir A Prefetching Algorithm for Multi-speed Disks. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Antonin Descampe, Christophe De Vleeschouwer, Marcela Iregui, Benoît Macq, Ferran Marqués Prefetching and Caching Strategies for Remote and Interactive Browsing of JPEG2000 Images. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Yuan Chou Low-Cost Epoch-Based Correlation Prefetching for Commercial Applications. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Harel Paz, Erez Petrank Using Prefetching to Improve Reference-Counting Garbage Collectors. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Ying Chen, Simon Y. Chen Cost-Driven Hybrid Configuration Prefetching for Partial Reconfigurable Coprocessor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Beihong Jin, Sihua Tian, Chen Lin, Xin Ren, Yu Huang 0002 An Integrated Prefetching and Caching Strategy for Mobile Web Caching System. Search on Bibsonomy SNPD (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Hong-Koo Kang, Joung-Joon Kim, Dong-Oh Kim, Ki-Joon Han An Extended R-Tree Indexing Method Using Selective Prefetching in Main Memory. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SPR-Tree, Extended R-Tree, Cache Performance, Cache Miss, Main Memory
36Yanming Shen, Zhengye Liu, Shivendra S. Panwar, Keith W. Ross, Yao Wang 0001 On the Design of Prefetching Strategies in a Peer-Driven Video on-Demand System. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Mehdi Modarressi, Shaahin Hessabi, Maziar Goudarzi A Data Prefetching Mechanism for Object-Oriented Embedded Systems Using Run-Time Profiling. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Saurabh Sharma, Jesse G. Beu, Thomas M. Conte Spectral prefetcher: An effective mechanism for L2 cache prefetching. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF absolute and differential domain, adaptive, memory, Prefetch, autocorrelation, frequency, L2 cache
36In Seon Choi, Haeng-Gon Lee, Gi Hwan Cho Enhancing of the Prefetching Prediction for Context-Aware Mobile Information Services. Search on Bibsonomy MSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Chia-Lin Yang, Alvin R. Lebeck, Hung-Wei Tseng 0001, Chien-Hao Lee Tolerating memory latency through push prefetching for pointer-intensive applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF linked data structures, pointer-chasing, Prefetch, memory hierarchy
36Josef Weidendorfer, Carsten Trinitis Cache Optimizations for Iterative Numerical Codes Aware of Hardware Prefetching. Search on Bibsonomy PARA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Hassan Sbeyti, Smaïl Niar, Lieven Eeckhout Adaptive Prefetching for Multimedia Applications in Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Ho-Sook Kim, Hwan-Seung Yong Association Based Prefetching Algorithm in Mobile Environments. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Ronny Lempel, Shlomo Moran Predictive caching and prefetching of query results in search engines. Search on Bibsonomy WWW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF query processing and optimization, caching
36Haibo Hu 0001, Jianliang Xu, Dik Lun Lee Adaptive Power-Aware Prefetching Schemes for Mobile Broadcast Environments. Search on Bibsonomy Mobile Data Management The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Michael Angermann Analysis of speculative prefetching. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Chia-Lin Yang, Alvin R. Lebeck A Programmable Memory Hierarchy for Prefetching Linked Data Structures. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Ghiwan Cho Using Predictive Prefetching to Improve Location Awareness of Mobile Information Service. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Robert Cooksey, Stéphan Jourdan, Dirk Grunwald A stateless, content-directed data prefetching mechanism. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, Thomas R. Puzak Branch History Guided Instruction Prefetching. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Call Graph Prefetching for Database Applications. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Alexander Gaysinsky, Alon Itai, Hadas Shachnai Strongly Competitive Algorithms for Caching with Pipelined Prefetching. Search on Bibsonomy ESA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Shimin Chen, Phillip B. Gibbons, Todd C. Mowry Improving Index Performance through Prefetching. Search on Bibsonomy SIGMOD Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Sathiamoorthy Manoharan, Chaitanya Reddy Yavasani Experiments with Sequential Prefetching. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Daniel Ortega, Mateo Valero, Eduard Ayguadé A novel renaming mechanism that boosts software prefetching. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Glenn Reinman, Brad Calder, Todd M. Austin Fetch Directed Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh A Performance Model of Speculative Prefetching in Distributed Information Systems. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Abdulmotaleb El-Saddik, Carsten Griwodz, Ralf Steinmetz Exploiting User Behaviour in Prefetching WWW Documents. Search on Bibsonomy IDMS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Andrew Tomkins, R. Hugo Patterson, Garth A. Gibson Informed Multi-Process Prefetching and Caching. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Hock-Beng Lim, Pen-Chung Yew A Compiler-Directed Cache Coherence Scheme Using Data Prefetching. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Pei Cao, Edward W. Felten, Anna R. Karlin, Kai Li 0001 A Study of Integrated Prefetching and Caching Strategies. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
36Edward H. Gornish, Elana D. Granston, Alexander V. Veidenbaum Compiler-directed data prefetching in multiprocessors with memory hierarchies. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF FORTRAN
36Dan Pelleg, Eran Raichstein, Amir Ronen Using machine learning techniques to enhance the performance of an automatic backup and recovery system. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF file and storage systems, readahead, machine learning, prefetching, systems
36Alokika Dash, Brian Demsky Software transactional distributed shared memory. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF path-expression prefetch, prefetching objects, transactional memory, distributed shared memory
36Ali-Reza Adl-Tabatabai, Anwar M. Ghuloum, Shobhit O. Kanaujia Compression in cache design. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache compression, prefetching, cache design
36Alexander Gendler, Avi Mendelson, Yitzhak Birk A PAB-Based Multi-Prefetcher Mechanism. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache tag pressure, Prefetching, memory wall
36Philip Garcia, Henry F. Korth Database hash-join algorithms on multithreaded computer architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, database, multithreading, software pipelining, SMT, memory performance, software prefetching, hash-join
36Ilya Ganusov, Martin Burtscher On the importance of optimizing the configuration of stream prefetchers. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware prefetching, stream prefetcher, runahead execution
36Hsiao-Hsi Wang, Kuan-Ching Li, Kuo-Jen Wang, Ssu-Hsuan Lu, Chun-Chieh Yang Load Balancing Design Issues on Prefetch-Based DSM Systems. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefetching strategy, home-based, DSM system
36Soong Hyun Shin, Cheol Hong Kim, Chu Shik Jhon An Effective Instruction Cache Prefetch Policy by Exploiting Cache History Information. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Computer architecture, embedded processor, instruction cache, cache prefetching
36Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory hierachy, time-based techniques, timekeeping prefetching, conflict miss identification, dead block prediction, victim cache filtering
36Wei Jin, Xiaobai Sun, Jeffrey S. Chase FastSlim: prefetch-sfe trace reduction for I/O cache simulation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF I/O architectures, performance evaluation, operating systems, prefetching, virtual memory, trace-driven simulation, file caching, trace reduction
36Sunil Kim, Alexander V. Veidenbaum On Interaction between Interconnection Network Design and Latency Hiding Techniques in Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnection networks, prefetching, weak consistency
36Susanne Albers, Naveen Garg 0001, Stefano Leonardi 0001 Minimizing stall time in single and parallel disk systems. Search on Bibsonomy J. ACM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF approximation algorithms, prefetching, caching algorithms
33Xiandong Meng, Vipin Chaudhary An adaptive data prefetching scheme for biosequence database search on reconfigurable platforms. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, data prefetching, DMA, Smith-Waterman algorithm
33Jeffrey A. Bergamini, Michael Haungs Enabling P2P Cooperative WMS Proxy Caching and Prefetching in an Educational Environment. Search on Bibsonomy AGILE Conf. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF education, caching, P2P, prefetching, tiling, WMS
33Huaping Shen, Mohan Kumar, Sajal K. Das 0001, Zhijun Wang 0001 Energy-Efficient Data Caching and Prefetching for Mobile Devices Based on Utility. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wireless networks, mobile devices, energy efficient, caching, prefetching
33Antonin Descampe, Jihong Ou, Philippe Chevalier, Benoît Macq Data prefetching for smooth navigation of large scale JPEG 2000 images. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data prefetching policy, smooth navigation, JPEG 2000 image, cache management, network resource
33Tracy Kimbrel Interleaved Prefetching. Search on Bibsonomy Algorithmica The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Caching, Combinatorial optimization, Prefetching
33Mahesh Kallahalla, Peter J. Varman PC-OPT: Optimal Offline Prefetching and Caching for Parallel I/O Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF offline algorithm, scheduling, algorithms, caching, prefetching, online algorithm, buffer management, competitive ratio, Parallel I/O systems
33Peter Triantafillou, Stavros Harizopoulos Prefetching into Smart-Disk Caches for High Performance Media Servers. Search on Bibsonomy ICMCS, Vol. 1 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF smart disks, prefetching, servers, disk scheduling, continuous media
33Chye-Lin Chee, Hongjun Lu, Hong Tang, C. V. Ramamoorthy Improving I/O response times via prefetching and storage system reorganization. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF I/O response times, storage system reorganization, storage management system, data access characteristics, runtime statistics, adaptive reorganization, application-specific data clustering mechanisms, default mechanisms, potential read time speedups, storage reorganization, prefetching, storage management, access patterns
31Yong Zhen Guo, Kotagiri Ramamohanarao, Laurence Anthony F. Park Web Access Latency Reduction Using CRF-Based Predictive Caching. Search on Bibsonomy WISM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Web Page Prediction, Web Predictive Caching, Conditional Random Fields
31Andreas Göb, Daniel Schreiber, Louenas Hamdi, Erwin Aitenbichler, Max Mühlhäuser Reducing User Perceived Latency with a Middleware for Mobile SOA Access. Search on Bibsonomy ICWS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Seetharami R. Seelam, I-Hsin Chung, John Bauer, Hao Yu 0008, Hui-Fang Wen Application level I/O caching on Blue Gene/P systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Marius Grannæs, Magnus Jahre, Lasse Natvig Low-cost open-page prefetch scheduling in chip multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Ozcan Ozturk 0001, Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy Prefetch throttling and data pinning for improving performance of shared caches. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license