Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Pierre Warnier, Claire Nedellec |
Sentence Filtering for BioNLP: Searching for Renaming Acts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BioNLP@ACL (Shared Task) ![In: Proceedings of BioNLP Shared Task 2011 Workshop, Portland, Oregon, USA, June 24, 2011, pp. 121-129, 2011, Association for Computational Linguistics, 9781937284091. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
20 | Julien Jourde, Alain-Pierre Manine, Philippe Veber, Karën Fort, Robert Bossy, Érick Alphonse, Philippe Bessières |
BioNLP Shared Task 2011 - Bacteria Gene Interactions and Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BioNLP@ACL (Shared Task) ![In: Proceedings of BioNLP Shared Task 2011 Workshop, Portland, Oregon, USA, June 24, 2011, pp. 65-73, 2011, Association for Computational Linguistics, 9781937284091. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
20 | Sergio Rajsbaum, Michel Raynal |
A Theory-Oriented Introduction to Wait-Free Synchronization Based on the Adaptive Renaming Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 25th IEEE International Conference on Advanced Information Networking and Applications, AINA 2011, Biopolis, Singapore, March 22-25, 2011, pp. 356-363, 2011, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Sergio Rajsbaum |
New combinatorial topology bounds for renaming: the lower bound. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 22(5-6), pp. 287-301, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Michael Okun |
Strong order-preserving renaming in the synchronous message passing model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theor. Comput. Sci. ![In: Theor. Comput. Sci. 411(40-42), pp. 3787-3794, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Marina Langlois, Robert H. Sloan, György Turán |
Horn Upper Bounds and Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Satisf. Boolean Model. Comput. ![In: J. Satisf. Boolean Model. Comput. 7(1), pp. 1-15, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Damien Imbs, Michel Raynal |
On Adaptive Renaming under Eventually Limited Contention. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSS ![In: Stabilization, Safety, and Security of Distributed Systems - 12th International Symposium, SSS 2010, New York, NY, USA, September 20-22, 2010. Proceedings, pp. 377-387, 2010, Springer, 978-3-642-16022-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, Hagit Attiya, Seth Gilbert, Andrei Giurgiu, Rachid Guerraoui |
Fast Randomized Test-and-Set and Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 24th International Symposium, DISC 2010, Cambridge, MA, USA, September 13-15, 2010. Proceedings, pp. 94-108, 2010, Springer, 978-3-642-15762-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Fakhar Anjam, Stephan Wong, Faisal Nadeem |
A multiported register file with register renaming for configurable softcore VLIW processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: Proceedings of the International Conference on Field-Programmable Technology, FPT 2010, 8-10 December 2010, Tsinghua University, Beijing, China, pp. 403-408, 2010, IEEE, 978-1-4244-8981-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Lin Meng, Shigeru Oyanagi |
Control Independence Using Dual Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNC ![In: First International Conference on Networking and Computing, ICNC 2010, Higashi Hiroshima, Japan, November 17-19, 2010. Proceedings, pp. 264-267, 2010, IEEE Computer Society, 978-0-7695-4277-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Eli Gafni, Achour Mostéfaoui, Michel Raynal, Corentin Travers |
From adaptive renaming to set agreement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theor. Comput. Sci. ![In: Theor. Comput. Sci. 410(14), pp. 1328-1335, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Kaveh Aasaraai, Andreas Moshovos |
Towards a viable out-of-order soft core: Copy-Free, checkpointed register renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 19th International Conference on Field Programmable Logic and Applications, FPL 2009, August 31 - September 2, 2009, Prague, Czech Republic, pp. 79-85, 2009, IEEE, 978-1-4244-3892-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E.. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: ICPPW 2009, International Conference on Parallel Processing Workshops, Vienna, Austria, 22-25 September 2009, pp. 138-145, 2009, IEEE Computer Society, 978-0-7695-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Salvador Petit, Rafael Ubal, Julio Sahuquillo, Pedro López 0001 |
A power-aware hybrid RAM-CAM renaming mechanism for fast recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 27th International Conference on Computer Design, ICCD 2009, Lake Tahoe, CA, USA, October 4-7, 2009, pp. 150-157, 2009, IEEE Computer Society, 978-1-4244-5029-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Hui Zeng, Kanad Ghose, Dmitry Ponomarev 0001 |
Register Versioning: A Low-Complexity Implementation of Register Renaming in Out-of-Order Microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: ICPP 2009, International Conference on Parallel Processing, Vienna, Austria, 22-25 September 2009, pp. 453-461, 2009, IEEE Computer Society, 978-0-7695-3802-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | |
Wait-Free Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Algorithms ![In: Encyclopedia of Algorithms - 2008 Edition, 2008, Springer, 978-0-387-30770-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Maurice Herlihy |
Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Algorithms ![In: Encyclopedia of Algorithms - 2008 Edition, 2008, Springer, 978-0-387-30770-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Sergio Rajsbaum |
New combinatorial topology upper and lower bounds for renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Seventh Annual ACM Symposium on Principles of Distributed Computing, PODC 2008, Toronto, Canada, August 18-21, 2008, pp. 295-304, 2008, ACM, 978-1-59593-989-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Hua Yang, Gang Cui, Hongwei Liu 0002, Xiao-Zong Yang |
Compacting register file via 2-level renaming and bit-partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 31(3), pp. 178-187, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Wann-Yun Shieh, Chang-Yi Lee |
Power-aware Register Renaming for Multi-banked Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Proceedings of the 2007 International Conference on Embedded Systems & Applications, USA 2007, June 25-28, 2007, Las Vegas, Nevada, USA, pp. 184-187, 2007, CSREA Press, 1-60132-052-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
20 | Eli Gafni, Michel Raynal, Corentin Travers |
Test & Set, Adaptive Renaming and Set Agreement: a Guided Visit to Asynchronous Computability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: 26th IEEE Symposium on Reliable Distributed Systems (SRDS 2007), Beijing, China, October 10-12, 2007, pp. 93-102, 2007, IEEE Computer Society, 0-7695-2995-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Tamer Basar |
Change of an editorship and renaming of an area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. ![In: Autom. 42(1), pp. 1, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Eli Gafni |
Renaming with k-Set-Consensus: An Optimal Algorithm into n + k - 1 Slots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OPODIS ![In: Principles of Distributed Systems, 10th International Conference, OPODIS 2006, Bordeaux, France, December 12-15, 2006, Proceedings, pp. 36-44, 2006, Springer, 3-540-49990-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Jeremy David Singer |
Static program analysis based on virtual register renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2006 |
RDF |
|
20 | Taqi N. Buti, Robert G. McDonald, Zakaria Khwaja, Asit Ambekar, Hung Q. Le, William E. Burky, Bert Williams |
Organization and implementation of the register-renaming mapper for out-of-order IBM POWER4 processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IBM J. Res. Dev. ![In: IBM J. Res. Dev. 49(1), pp. 167-188, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
20 | Stelvio Cimato, Alfredo De Santis, Umberto Ferraro Petrillo |
Overcoming the obfuscation of Java programs by identifier renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Softw. ![In: J. Syst. Softw. 78(1), pp. 60-72, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Monika Kapus-Kolar |
A generalization of the E-LOTOS renaming operator: a convenience for specification of new forms of process composition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Stand. Interfaces ![In: Comput. Stand. Interfaces 26(6), pp. 549-563, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Guido Malpohl, James J. Hunt, Walter F. Tichy |
Renaming Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. Softw. Eng. ![In: Autom. Softw. Eng. 10(2), pp. 183-202, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
configuration management, merging, static semantics, deltas |
20 | Michel Raynal |
The Renaming Problem as an Introduction to Structures for Wait-Free Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 7th International Conference, PaCT 2003, Novosibirsk, Russia, September 15-19, 2003, Proceedings, pp. 151-164, 2003, Springer, 3-540-40673-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Tony Clark 0001, Andy Evans, Stuart Kent 0001 |
A Metamodel for Package Extension with Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UML ![In: UML 2002 - The Unified Modeling Language, 5th International Conference, Dresden, Germany, September 30 - October 4, 2002, Proceedings, pp. 305-320, 2002, Springer, 3-540-44254-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
20 | L. Wang, Ted C. Yang |
On the Boosting of Instruction Scheduling by Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 19(2), pp. 173-197, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Hagit Attiya, Arie Fouren |
Adaptive and Efficient Algorithms for Lattice Agreement and Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM J. Comput. ![In: SIAM J. Comput. 31(2), pp. 642-664, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Perry H. Wang, Hong Wang 0003, Ralph-Michael Kling, Kalpana Ramakrishnan, John Paul Shen |
Register Renaming and Scheduling for Dynamic Execution of Predicated Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001, pp. 15-25, 2001, IEEE Computer Society, 0-7695-1019-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Pedro López 0001, José Flich, José Duato |
Deadlock-Free Routing in InfiniBand through Destination Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2001 International Conference on Parallel Processing, ICPP 2002, 3-7 September 2001, Valencia, Spain, pp. 427-436, 2001, IEEE Computer Society, 0-7695-1257-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | David May 0001, Henk L. Muller, Nigel P. Smart |
Random Register Renaming to Foil DPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHES ![In: Cryptographic Hardware and Embedded Systems - CHES 2001, Third International Workshop, Paris, France, May 14-16, 2001, Proceedings, pp. 28-38, 2001, Springer, 3-540-42521-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Kohei Honda 0001 |
Elementary structures in process theory (1): Sets with renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Struct. Comput. Sci. ![In: Math. Struct. Comput. Sci. 10(5), pp. 617-663, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
20 | Xumin Nie, Qing Guo |
Renaming a Set of Non-Horn Clauses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 15(5), pp. 409-415, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Teresa Monreal, Antonio González 0001, Mateo Valero, José González 0002, Víctor Viñals |
Dynamic Register Renaming Through Virtual-Physical Registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Instr. Level Parallelism ![In: J. Instr. Level Parallelism 2, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
20 | Lori Carter, Beth Simon, Brad Calder, Larry Carter, Jeanne Ferrante |
Path Analysis and Renaming for Predicated Instruction Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 28(6), pp. 563-588, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Andrew M. Pitts, Murdoch Gabbay |
A Metalanguage for Programming with Bound Names Modulo Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MPC ![In: Mathematics of Program Construction, 5th International Conference, MPC 2000, Ponte de Lima, Portugal, July 3-5, 2000, Proceedings, pp. 230-255, 2000, Springer, 3-540-67727-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Gary S. Tyson, Todd M. Austin |
Memory Renaming: Fast, Early and Accurate Processing of Memory Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 27(5), pp. 357-380, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Glenn Reinman, Brad Calder, Dean M. Tullsen, Gary S. Tyson, Todd M. Austin |
Classifying load and store instructions for memory renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 13th international conference on Supercomputing, ICS 1999, Rhodes, Greece, June 20-25, 1999, pp. 399-407, 1999, ACM, 1-58113-164-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Yehuda Afek, Michael Merritt |
Fast, Wait-Free (2k)-Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Eighteenth Annual ACM Symposium on Principles of Distributed Computing, PODC, '99Atlanta, Georgia, USA, May 3-6, 1999, pp. 105-112, 1999, ACM, 1-58113-099-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Yehuda Afek, Hagit Attiya, Arie Fouren, Gideon Stupp, Dan Touitou |
Long-Lived Renaming Made Adaptive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Eighteenth Annual ACM Symposium on Principles of Distributed Computing, PODC, '99Atlanta, Georgia, USA, May 3-6, 1999, pp. 91-103, 1999, ACM, 1-58113-099-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Mark Moir |
Fast, Long-Lived Renaming Improved and Simplified. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. Comput. Program. ![In: Sci. Comput. Program. 30(3), pp. 287-308, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Hagit Attiya, Arie Fouren |
Adaptive Wait-Free Algorithms for Lattice Agreement and Renaming (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Seventeenth Annual ACM Symposium on Principles of Distributed Computing, PODC '98, Puerto Vallarta, Mexico, June 28 - July 2, 1998, pp. 277-286, 1998, ACM, 0-89791-977-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Martin Farach, S. Muthukrishnan 0001 |
Optimal Parallel Randomized Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Process. Lett. ![In: Inf. Process. Lett. 61(1), pp. 7-10, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Mark Moir, Juan A. Garay |
Fast, Long-Lived Renaming Improved and Simplified (Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Fifteenth Annual ACM Symposium on Principles of Distributed Computing, Philadelphia, Pennsylvania, USA, May 23-26, 1996, pp. 152, 1996, ACM, 0-89791-800-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
20 | Creigton Asato |
A 14-port 3.8-ns 116-word 64-b read-renaming register file. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 30(11), pp. 1254-1258, November 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
20 | Jean-Jacques Hébrard |
Unique Horn Renaming an Unique 2-Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Process. Lett. ![In: Inf. Process. Lett. 54(4), pp. 235-239, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
20 | Mark Moir, James H. Anderson |
Wait-Free Algorithms for Fast, Long-Lived Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. Comput. Program. ![In: Sci. Comput. Program. 25(1), pp. 1-39, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
20 | Harry Buhrman, Juan A. Garay, Jaap-Henk Hoepman, Mark Moir |
Long-Lived Renaming Made Fast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Fourteenth Annual ACM Symposium on Principles of Distributed Computing, Ottawa, Ontario, Canada, August 20-23, 1995, pp. 194-203, 1995, ACM, 0-89791-710-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
20 | Jean-Jacques Hébrard |
A Linear Algorithm for Renaming a Set of Clauses as a Horn Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theor. Comput. Sci. ![In: Theor. Comput. Sci. 124(2), pp. 343-350, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Joseph Gil |
Renaming and dispersing: Techniques for Fast Load Balancing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 23(2), pp. 149-157, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Manuel M. Ammann, Robert D. Cameron |
Inter-Module Renaming and Reorganizing: Examples of Program Manipulation-in-the-Large. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: Proceedings of the International Conference on Software Maintenance, ICSM 1994, Victoria, BC, Canada, September 1994, pp. 354-361, 1994, IEEE Computer Society, 0-8186-6330-8. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Mayan Moudgill, Keshav Pingali, Stamatis Vassiliadis |
Register renaming and dynamic speculation: an alternative approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 202-213, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
20 | Elizabeth Borowsky, Eli Gafni |
Immediate Atomic Snapshots and Fast Renaming (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twelth Annual ACM Symposium on Principles of Distributed Computing, Ithaca, New York, USA, August 15-18, 1993, pp. 41-51, 1993, ACM, 0-89791-613-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
20 | Alexandru Nicolau, Roni Potasman, Haigeng Wang |
Register Allocation, Renaming and Their Impact on Fine-Grain Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, Fourth International Workshop, Santa Clara, California, USA, August 7-9, 1991, Proceedings, pp. 218-235, 1991, Springer, 3-540-55422-X. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
20 | Thierry Boy de la Tour |
Optimisation par renommage dans la méthode de résolution. (Optimizations by renaming in the resolution method). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1991 |
RDF |
|
20 | Thierry Boy de la Tour, Gilles Chaminade |
The Use of Renaming to Improve the Effeciency of Clausal Theorem Proving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIMSA ![In: Artificial Intelligence IV: Methodology, Systems, Applications - Proceedings of the Fourth International Conference on Artificial Intelligence: Methodology, Systems, Applications, AIMSA 1990, Albena, Bulgaria, September 19-22, 1990, pp. 3-12, 1990, North-Holland, 0-444-88771-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
20 | G. Lindhorst, Farhad Shahrokhi |
On Renaming a Set of Clauses as a Horn Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Process. Lett. ![In: Inf. Process. Lett. 30(6), pp. 289-293, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
20 | Jos C. M. Baeten, Jan A. Bergstra |
Global Renaming Operators in Concrete Process Algebra ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Comput. ![In: Inf. Comput. 78(3), pp. 205-245, September 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
20 | Ron Cytron, Jeanne Ferrante |
What's In a Name? -or- The Value of Renaming for Parallelism Detection and Storage Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: International Conference on Parallel Processing, ICPP'87, University Park, PA, USA, August 1987., pp. 19-27, 1987, Pennsylvania State University Press. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP BibTeX RDF |
|
20 | Heikki Mannila, Kurt Mehlhorn |
A Fast Algorithm for Renaming a Set of Clauses as a Horn Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Process. Lett. ![In: Inf. Process. Lett. 21(5), pp. 269-272, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
20 | Harry R. Lewis |
Renaming a Set of Clauses as a Horn Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 25(1), pp. 134-135, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
|
20 | Bernard Meltzer |
Theorem-Proving for Computers: Some Results on Resolution and Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. J. ![In: Comput. J. 8(4), pp. 341-343, 1966. The full citation details ...](Pics/full.jpeg) |
1966 |
DBLP DOI BibTeX RDF |
|
20 | Louis Fein |
Renaming the PTGEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Electron. Comput. ![In: IEEE Trans. Electron. Comput. 12(2), pp. 136, 1963. The full citation details ...](Pics/full.jpeg) |
1963 |
DBLP DOI BibTeX RDF |
|
19 | Patricia Jablonski, Daqing Hou |
Aiding Software Maintenance with Copy-and-Paste Clone-Awareness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPC ![In: The 18th IEEE International Conference on Program Comprehension, ICPC 2010, Braga, Minho, Portugal, June 30-July 2, 2010, pp. 170-179, 2010, IEEE Computer Society, 978-0-7695-4113-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
copy-and-paste programming, identifier renaming, Java, code clone, Eclipse integrated development environment |
19 | Maziar Goudarzi, Tohru Ishihara |
Instruction cache leakage reduction by changing register operands and using asymmetric sram cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 383-386, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
asymmetric sram, leakage, instruction cache, register renaming |
19 | Michel Raynal |
Synchronization is Coming Back, But is it the Same? ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 22nd International Conference on Advanced Information Networking and Applications, AINA 2008, GinoWan, Okinawa, Japan, March 25-28, 2008, pp. 1-10, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Adaptive renaming, Atomic snapshot, Test & set, t-Resilience, Shared memory, Reduction, Asynchronous system, Process crash, Atomic register, Set agreement, Wait-free algorithm |
19 | Elham Safi, Patrick Akl, Andreas Moshovos, Andreas G. Veneris, Aggeliki Arapoyanni |
On the latency, energy and area of checkpointed, superscalar register alias tables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 379-382, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
latency, checkpointing, energy, register renaming |
19 | Erika Gunadi, Mikko H. Lipasti |
Power-aware operand delivery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 375-378, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
power, microarchitecture, renaming |
19 | Weiwu Hu, Fuxin Zhang, Zusong Li |
Microarchitecture of the Godson-2 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(2), pp. 243-249, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming |
19 | Diomidis Spinellis |
Global Analysis and Transformations in Preprocessed Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 29(11), pp. 1019-1030, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
reverse engineering, C++, C, Refactoring, renaming, preprocessor, program families |
19 | Andreas Moshovos |
Checkpointing alternatives for high performance, power-aware processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 318-321, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
checkpointing, power-aware, out-of-order execution, renaming, power density |
19 | M. Watheq El-Kharashi, Fayez El Guibaly, Kin F. Li |
Adapting Tomasulo's algorithm for bytecode folding based Java processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 29(5), pp. 1-8, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
instruction shelving, java bytecode folding, java stack folding, reservation stations, stack processors, tomasulo's algorithm, java, Java, java virtual machine, dynamic scheduling, java processors, register renaming |
19 | Rad Silvera, Jian Wang, Ramaswamy Govindarajan, Guang R. Gao |
A Register Pressure Sensitive Instruction Scheduler for Dynamic Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), San Francisco, CA, USA, October 11-15, 1997, pp. 78-89, 1997, IEEE Computer Society, 0-8186-8090-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Scheduling, register renaming, out-of-order issue, Register Pressure |
11 | Hui Zeng, Matt T. Yourst, Kanad Ghose |
An energy-efficient checkpointing mechanism for out of order commit processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 183-188, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
checkpoint, microprocessor |
11 | Suriya Subramanian, Kathryn S. McKinley |
HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 308-323, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay |
Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 484-495, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism |
11 | Raimar Falke, Pierre Frenzel, Rainer Koschke |
Empirical evaluation of clone detection using syntax suffix trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Empir. Softw. Eng. ![In: Empir. Softw. Eng. 13(6), pp. 601-643, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Software clone detection, Software maintenance, Program analysis, Redundancy, Software evolution, Duplication |
11 | Yorick Wilks |
The Semantic Web: Apotheosis of Annotation, but What Are Its Semantics? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Syst. ![In: IEEE Intell. Syst. 23(3), pp. 41-49, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
World Wide Web, Semantic Web, artificial Intelligence, natural language processing, language models, n-grams, meaning, biological databases, corpora |
11 | Changyan Zhou, Ratnesh Kumar 0001 |
Prioritized Synchronization Under Mask for Control and Interaction of Partially Observed Event-Driven Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans Autom. Sci. Eng. ![In: IEEE Trans Autom. Sci. Eng. 5(1), pp. 101-112, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Qing Shi Gao, Xiao Yu Gao, Lei Xu 0001 |
A Probability Theory Perspective on the Zadeh Fuzzy System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Mining: Foundations and Practice ![In: Data Mining: Foundations and Practice, pp. 125-137, 2008, Springer, 978-3-540-78487-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Subhajit Roy 0001, Y. N. Srikant |
Improving flow-insensitive solutions for non-separable dataflow problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 211-216, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
compilers, compiler optimizations, dataflow analysis |
11 | Xiaotong Zhuang, Suhyun Kim, Mauricio J. Serrano, Jong-Deok Choi |
Perfdiff: a framework for performance difference analysis in a virtual machine environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 4-13, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
virtual machine, performance comparison |
11 | Brigitte Pientka |
A type-theoretic foundation for programming with higher-order abstract syntax and first-class substitutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 35th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2008, San Francisco, California, USA, January 7-12, 2008, pp. 371-382, 2008, ACM, 978-1-59593-689-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
type system, logical frameworks |
11 | Sam Bryfczynski |
OrganicPad: a tablet PC based chemistry tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 46th Annual Southeast Regional Conference, 2008, Auburn, Alabama, USA, March 28-29, 2008, pp. 523-524, 2008, ACM, 978-1-60558-105-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Frederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras |
Low power microarchitecture with instruction reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 149-158, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
loop reusing technique, reorder buffer optimization, superscalar processor, power reduction |
11 | Peter Clark |
Knowledge Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EKAW ![In: Knowledge Engineering: Practice and Patterns, 16th International Conference, EKAW 2008, Acitrezza, Italy, September 29 - October 2, 2008. Proceedings, pp. 1-3, 2008, Springer, 978-3-540-87695-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Stephen J. Hegner |
Information-Optimal Reflections of View Updates on Relational Database Schemata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FoIKS ![In: Foundations of Information and Knowledge Systems, 5th International Symposium, FoIKS 2008, Pisa, Italy, February 11-15, 2008, Proceedings, pp. 112-131, 2008, Springer, 978-3-540-77683-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
view, update |
11 | Maziar Goudarzi, Tohru Ishihara, Hamid Noori |
Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 224-239, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
process variation, cache memory, Leakage power, power reduction |
11 | Ki Yung Ahn, Tim Sheard |
Shared subtypes: subtyping recursive parametrized algebraic data types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Haskell ![In: Proceedings of the 1st ACM SIGPLAN Symposium on Haskell, Haskell 2008, Victoria, BC, Canada, 25 September 2008, pp. 75-86, 2008, ACM, 978-1-60558-064-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parametrized types, sharing representation, subtype, subtyping, recursive types, gadts, datatypes, algebraic data types |
11 | Woo-Cheol Kwon, Sungjoo Yoo, Sung-Min Hong, Byeong Min, Kyu-Myung Choi, Soo-Kwan Eo |
A practical approach of memory access parallelization to exploit multiple off-chip DDR memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 447-452, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallelization, memory, arbitration |
11 | Michele Baggi, Moreno Falaschi, Demis Ballis |
XML Semantic Filtering via Ontology Reasoning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIW ![In: Third International Conference on Internet and Web Applications and Services, ICIW 2008, 8-13 June 2008, Athens, Greece, pp. 482-487, 2008, IEEE Computer Society, 978-0-7695-3163-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
XML semantic filtering, query language, ontology reasoning |
11 | Carmit Hazay, Moshe Lewenstein, Dina Sokol |
Approximate parameterized matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Algorithms ![In: ACM Trans. Algorithms 3(3), pp. 29, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
mismatch pair, parameterize match, Hamming distance, maximum matching |
11 | Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu |
Performance-Driven Crosstalk Elimination at Postcompiler Level-The Case of Low-Crosstalk Op-Code Assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3), pp. 564-573, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Albert Meixner, Daniel J. Sorin |
Error Detection Using Dynamic Dataflow Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 104-118, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Suhyun Kim, Soo-Mook Moon |
Rotating Register Allocation for Enhanced Pipeline Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 60-72, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Xuehai Qian, He Huang, Hao Zhang 0009, Guoping Long, Junchao Zhang, Dongrui Fan |
Design and Implementation of Floating Point Stack on General RISC Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 15th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2007), 7-9 February 2007, Naples, Italy, pp. 238-245, 2007, IEEE Computer Society, 0-7695-2784-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|