The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculation with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1994 (18) 1995-1996 (15) 1997 (15) 1998 (24) 1999 (38) 2000 (41) 2001 (37) 2002 (34) 2003 (53) 2004 (56) 2005 (56) 2006 (44) 2007 (46) 2008 (54) 2009 (49) 2010 (49) 2011 (39) 2012 (26) 2013 (26) 2014 (30) 2015 (30) 2016 (35) 2017 (19) 2018 (17) 2019 (42) 2020 (32) 2021 (43) 2022 (28) 2023 (35) 2024 (4)
Publication types (Num. hits)
article(268) incollection(7) inproceedings(738) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
ISCA(34) CoRR(33) MICRO(28) HPCA(25) ASPLOS(23) IPDPS(21) IEEE PACT(18) ICS(17) ICCD(15) IEEE Trans. Computers(15) LCPC(15) NeSp-NLP@ACL(15) PPoPP(15) PLDI(14) CGO(13) DAC(13) More (+10 of total 434)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 811 occurrences of 404 keywords

Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Lei Gao, Ming-che Lai, Zhenghu Gong Exploiting the Thread-Level Parallelism for BGP on Multi-core. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multi-core, BGP
19Chen Tian 0002, Min Feng 0001, Vijay Nagarajan, Rajiv Gupta 0001 Copy or Discard execution model for speculative parallelization on multicores. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hongtao Zhong, Mojtaba Mehrara, Steven A. Lieberman, Scott A. Mahlke Uncovering hidden loop level parallelism in sequential applications. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Md. Mafijul Islam, Alexander Busck, Mikael Engbom, Simji Lee, Michel Dubois 0001, Per Stenström Loop-level Speculative Parallelism in Embedded Applications. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Arkadiusz Danilecki, Michal Szychowiak Using Speculative Push for Unnecessary Checkpoint Creation Avoidance. Search on Bibsonomy DAIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Giacinto Paolo Saggese, Anoop Vetteth, Zbigniew Kalbarczyk, Ravishankar K. Iyer Microprocessor Sensitivity to Failures: Control vs Execution and Combinational vs Sequential Logic. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Smruti R. Sarangi, Wei Liu, Yuanyuan Zhou ReSlice: Selective Re-Execution of Long-Retired Misspeculated Instructions Using Forward Slicing. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19David Petrou, Garth A. Gibson, Gregory R. Ganger Scheduling speculative tasks in a compute farm. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Seungryul Choi, Nicholas Kohout, Sumit Pamnani, Dongkeun Kim, Donald Yeung A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory parallelism, pointer-chasing code, Data prefetching
19Sumit Gupta, Rajesh K. Gupta 0001, Nikil D. Dutt, Alexandru Nicolau Coordinated parallelizing compiler optimizations and high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic CSE, parallelizing transformations, presynthesis, embedded systems, high-level synthesis, Code motions, common subexpression elimination
19Deniz Balkan, John Kalamatianos, David R. Kaeli A Study of Errant Pipeline Flushes Caused by Value Misspeculation. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jianzhuang Lu, Chunyuan Zhang, Zhiying Wang, Yun Cheng, Dan Wu A Case of SCMP with TLS. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19John W. Sias, Sain-Zee Ueng, Geoff A. Kent, Ian M. Steiner, Erik M. Nystrom, Wen-mei W. Hwu Field-testing IMPACT EPIC research results in Itanium 2. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yuu Tanaka, Toshinori Sato, Takenori Koushiro The potential in energy efficiency of a speculative chip-multiprocessor. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual pipeline, energy efficiency, speculative multithreading
19Lu Peng 0001, Jih-Kwon Peir, Qianrong Ma, Konrad Lai Address-free memory access based on program syntax correlation of loads and stores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Yoshimitsu Yanagawa, Luong Dinh Hung, Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Complexity Analysis of a Cache Controller for Speculative Multithreading Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Noah Snavely, Saumya K. Debray, Gregory R. Andrews Unspeculation. Search on Bibsonomy ASE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Peng-Sheng Chen, Ming-Yu Hung, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Compiler support for speculative multithreading architecture with probabilistic points-to analysis. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF probabilistic points-to analysis, parallelization, dependence analysis, speculative multithreading
19Michael K. Chen, Kunle Olukotun The Jrpm System for Dynamically Parallelizing Java Programs. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Dan Ernst, Andrew Hamel, Todd M. Austin Cyclone: A Broadcast-Free Dynamic Instruction Scheduler with Selective Replay. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Andreas Moshovos, Gurindar S. Sohi Reducing Memory Latency via Read-after-Read Memory Dependence Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory dependence prediction, cache, dynamic optimization, load
19Manel Fernández, Roger Espasa Speculative Alias Analysis for Executable Code. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Veerle Desmet, Bart Goeman, Koenraad De Bosschere Independent Hashing as Confidence Mechanism for Value Predictors in Microprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Martin Burtscher, Amer Diwan, Matthias Hauswirth Static Load Classification for Improving the Value Predictability of Data-Cache Misses. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value prediction, type-based analysis
19Toshinori Sato, Itsujiro Arita Low-Cost Value Predictors Using Frequent Value Locality. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Carlos Molina, Antonio González 0001, Jordi Tubella Trace-Level Speculative Multithreaded Architecture. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Gurindar S. Sohi, Amir Roth Speculative Multithreaded Processors. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Nicholas Kohout, Seungryul Choi, Dongkeun Kim, Donald Yeung Multi-Chain Prefetching: Effective Exploitation of Inter-Chain Memory Parallelism for Pointer-Chasing Codes. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Yonghua Ding, Zhiyuan Li 0001 An Adaptive Scheme for Dynamic Parallelization. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Brian A. Fields, Shai Rubin, Rastislav Bodík Focusing processor policies via critical-path prediction. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Eleanor Gilbert Rieffel, Wolfgang Polak An introduction to quantum computing for non-physicists. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, parallelism, quantum computing
19Takayuki Sato, Kazuhiko Ohno, Hiroshi Nakashima A Mechanism for Speculative Memory Accesses Following Synchronizing Operations. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synchronization, shared memory multiprocessors, speculative execution
19Gheorghe Paun (DNA) computing by carving. Search on Bibsonomy Soft Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Chomskyhierarchy, approximation of languages, DNA computing, complementation
19Lori Carter, Beth Simon, Brad Calder, Larry Carter, Jeanne Ferrante Predicated Static Single Assignment. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Predicated Compiler Analysis, Instruction Scheduling
19Jeffrey T. Oplinger, David L. Heine, Monica S. Lam In Search of Speculative Thread-Level Parallelism. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Speculative Threads, Parallelism, Value Prediction
19Lucian Codrescu, D. Scott Wills Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Hank G. Dietz Speculative Predication Across Arbitrary Interprocedural Control Flow. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19An-Chow Lai, Babak Falsafi Memory Sharing Predictor: The Key to a Speculative Coherent DSM. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Fred C. Chow, Robert Kennedy, Shin-Ming Liu, Raymond Lo, Peng Tu Register Promotion by Partial Redundancy Elimination of Loads and Stores. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19David I. August, Daniel A. Connors, Scott A. Mahlke, John W. Sias, Kevin M. Crozier, Ben-Chung Cheng, Patrick R. Eaton, Qudus B. Olaniran, Wen-mei W. Hwu Integrated Predicated and Speculative Execution in the IMPACT EPIC Architecture. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Zhihao Zhang, Alan Zhu, Lijie Yang, Yihua Xu, Lanting Li, Phitchaya Mangpo Phothilimthana, Zhihao Jia Accelerating Retrieval-Augmented Language Model Serving with Speculation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Yanlong Peng, Zhigang Wang, Yisheng Zhang, Shengmin Zhang, Ming Chen Towards Agile Robots: Intuitive Robot Position Speculation with Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Esmaeil Mohammadian Koruyeh, Khaled N. Khasawneh, Chengyu Song, Nael B. Abu-Ghazaleh Spectre Returns! Speculation Attacks Using the Return Stack Buffer. Search on Bibsonomy IEEE Des. Test The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Dirk Hovorka, Benjamin Mueller Speculation: Forms and Functions. Search on Bibsonomy HICSS The full citation details ... 2024 DBLP  BibTeX  RDF
16Shanglyu Deng Speculation in procurement auctions. Search on Bibsonomy J. Econ. Theory The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Oleksii Oleksenko, Christof Fetzer, Boris Köpf, Mark Silberstein Revizor: Testing Black-Box CPUs Against Speculation Contracts. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hongwei Cui, Chun Yang, Xu Cheng 0001 Secure Speculation via Speculative Secret Flow Tracking. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Shamika Klassen, Casey Fiesler The Stoop: Speculation on Positive Futures of Black Digital Spaces. Search on Bibsonomy Proc. ACM Hum. Comput. Interact. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Naiara Pérez, Montse Cuadros, German Rigau Negation and speculation processing: A study on cue-scope labelling and assertion classification in Spanish clinical text. Search on Bibsonomy Artif. Intell. Medicine The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sirong Zhao, Xuejun Yu, Jianchun Luo, Guoqi Xie Speculation-Free Function Table Construction in LLVM IR for Fine-Grained Control Flow Integrity. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Bowen Tang, Chenggang Wu 0002, Pen-Chung Yew, Yinqian Zhang, Mengyao Xie, Yuanming Lai, Yan Kang 0002, Wei Wang, Qiang Wei, Zhe Wang 0017 SpecWands: An Efficient Priority-Based Scheduler Against Speculation Contention Attacks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16John Rushby On Computational Mechanisms for Shared Intentionality, and Speculation on Rationality and Consciousness. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sayinath Karuppanan, Samira Mirbagher Ajorpaz An Attack on The Speculative Vectorization: Leakage from Higher Dimensional Speculation. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Philipp Schmitz, Tobias Jauch, Alex Wezel, Mohammad Rahmani Fadiheh, Thore Tiemann, Jonah Heller, Thomas Eisenbarth 0001, Dominik Stoffel, Wolfgang Kunz Okapi: A Lightweight Architecture for Secure Speculation Exploiting Locality of Memory Accesses. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Kuicai Dong, Aixin Sun, Jung-Jae Kim 0001, Xiaoli Li 0001 Shall We Trust All Relational Tuples by Open Information Extraction? A Study on Speculation Detection. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Bowen Tang, Chenggang Wu 0002, Pen-Chung Yew, Yinqian Zhang, Mengyao Xie, Yuanming Lai, Yan Kang 0002, Wei Wang, Qiang Wei, Zhe Wang 0017 SPECWANDS: An Efficient Priority-based Scheduler Against Speculation Contention Attacks. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Lesly-Ann Daniel, Marton Bognar, Job Noorman, Sébastien Bardin, Tamara Rezk, Frank Piessens ProSpeCT: Provably Secure Speculation for the Constant-Time Policy (Extended version). Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ali Hajiabadi, Archit Agarwal, Andreas Diavastos, Trevor E. Carlson Mitigating Speculation-based Attacks through Configurable Hardware/Software Co-design. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hiroaki Hirata, Atsushi Nunome Performance Evaluation on Parallel Speculation-Based Construction of a Binary Search Tree. Search on Bibsonomy Int. J. Networked Distributed Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hao Cai, Yaoru Hou, Mengdi Zhang, Bo Liu 0019, Lirida Alves de Barros Naviner Dependable STT-MRAM With Emerging Approximation and Speculation Paradigms. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Lei Pi, Qiang Zhang, Lingfang Yang, Zhi Huang Social interaction model enhanced with speculation stage for human trajectory prediction. Search on Bibsonomy Robotics Auton. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Bowen Tang, Chenggang Wu 0002, Zhe Wang 0017, Lichen Jia, Pen-Chung Yew, Yueqiang Cheng, Yinqian Zhang, Chenxi Wang, Guoqing Harry Xu SpecBox: A Label-Based Transparent Speculation Scheme Against Transient Execution Attacks. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Eleni Economidou Engaging the General Public in Speculation on Human-Building Interaction Futures at a Pop-up Event: A Design Fiction Approach. Search on Bibsonomy MindTrek The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Drew Zagieboylo, Charles Sherk, Andrew C. Myers, G. Edward Suh SpecVerilog: Adapting Information Flow Control for Secure Speculation. Search on Bibsonomy CCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yanru Lyu, Tingxuan Hao, Zhouhengyi Yi Design Futures with GAI: Exploring the Potential of Generative AI Tools in Collaborative Speculation. Search on Bibsonomy HCI (53) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yuanxiang Xu, Yuan Feng 0003, Jiahao Liu, Shengyu Song, Zhongwei Xu, Lan Zhang Conf-UNet: A Model for Speculation on Unknown Oracle Bone Characters. Search on Bibsonomy KSEM (2) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Oda Elise Nordberg, Frode Guribye Conversations with the News: Co-speculation into Conversational Interactions with News Content. Search on Bibsonomy CUI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Lesly-Ann Daniel, Marton Bognar, Job Noorman, Sébastien Bardin, Tamara Rezk, Frank Piessens ProSpeCT: Provably Secure Speculation for the Constant-Time Policy. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2023 DBLP  BibTeX  RDF
16Jana Hofmann, Emanuele Vannacci, Cédric Fournet, Boris Köpf, Oleksii Oleksenko Speculation at Fault: Modeling and Testing Microarchitectural Leakage of CPU Exceptions. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2023 DBLP  BibTeX  RDF
16Zhong Qian, Tiening Sun, Ting Zou, Peifeng Li, Qiaoming Zhu, Guodong Zhou Speculation and Negation Scope Resolution via Machine Reading Comprehension Formulation with Data Augmentation. Search on Bibsonomy DASFAA (3) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Tobias Jauch, Alex Wezel, Mohammad Rahmani Fadiheh, Philipp Schmitz, Sayak Ray, Jason M. Fung, Christopher W. Fletcher, Dominik Stoffel, Wolfgang Kunz Secure-by-Construction Design Methodology for CPUs: Implementing Secure Speculation on the RTL. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hyungjun Cho, Jiyeon Lee, Bonhee Ku, Yunwoo Jeong, Shakhnozakhon Yadgarova, Tek-Jin Nam ARECA: A Design Speculation on Everyday Products Having Minds. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Brett A. Halperin, Daniela K. Rosner Miracle Machine in the Making: Soulful Speculation with Kabbalah. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Tian Lyu, Haotian Guo BGCN: An EEG-based Graphical Classification Method for Parkinson's Disease Diagnosis with Heuristic Functional Connectivity Speculation. Search on Bibsonomy NER The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Franz A. Fuchs, Jonathan Woodruff, Peter Rugg, Marno van der Maas, Alexandre Joannou, Alexander Richardson, Jessica Clarke 0001, Nathaniel Wesley Filardo, Brooks Davis, John Baldwin, Peter G. Neumann, Simon W. Moore, Robert N. M. Watson Architectural Contracts for Safe Speculation. Search on Bibsonomy ICCD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Kuicai Dong, Aixin Sun, Jung-Jae Kim 0001, Xiaoli Li 0001 From Speculation Detection to Trustworthy Relational Tuples in Information Extraction. Search on Bibsonomy EMNLP (Findings) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yubin Qin, Yang Wang 0089, Dazheng Deng, Xiaolong Yang, Zhiren Zhao, Yang Zhou, Yuanqi Fan, Jingchuan Wei, Tianbao Chen, Leibo Liu, Shaojun Wei, Yang Hu 0001, Shouyi Yin A 28nm 49.7TOPS/W Sparse Transformer Processor with Random-Projection-Based Speculation, Multi-Stationary Dataflow, and Redundant Partial Product Elimination. Search on Bibsonomy A-SSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Amund Bergland Kvalsvik, Pavlos Aimoniotis, Stefanos Kaxiras, Magnus Själander Doppelganger Loads: A Safe, Complexity-Effective Optimization for Secure Speculation Schemes. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Stefan Igescu, Viktor Sanca, Eleni Zapridou, Anastasia Ailamaki Improving K-means Clustering Using Speculation. Search on Bibsonomy VLDB Workshops The full citation details ... 2023 DBLP  BibTeX  RDF
16Hang Zhou Informed speculation with k-level reasoning. Search on Bibsonomy J. Econ. Theory The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Andrea Buraschi, Paul Whelan Speculation, Sentiment, and Interest Rates. Search on Bibsonomy Manag. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ling Yang, Libo Huang, Run Yan, Nong Xiao, Sheng Ma, Li Shen 0007, Weixia Xu Stride Equality Prediction for Value Speculation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jessica Pater, Casey Fiesler, Michael Zimmer 0004 No Humans Here: Ethical Speculation on Public Data, Unintended Consequences, and the Limits of Institutional Review. Search on Bibsonomy Proc. ACM Hum. Comput. Interact. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Vladimir Vargas-Calderón, Jorge E. Camargo Towards robust and speculation-reduction real estate pricing models based on a data-driven strategy. Search on Bibsonomy J. Oper. Res. Soc. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Alexa Becker, Benedikt Haupt, Arne Berger, Christian Pentzold Future home stories: participatory predicaments and methodological scaffolding in narrative speculation on alternative domestic lives. Search on Bibsonomy Digit. Creativity The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Haotian Zhao, Julian Camilo Gomez Diaz, Sebastian Hoyos Multi-Channel Receiver Nonlinearity Cancellation Using Channel Speculation Passing Algorithm. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jonatha Anselmi Replication vs speculation for load balancing. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ron Wakkary, Doenja Oogjes, Armi Behzad Two Years or More of Co-speculation: Polylogues of Philosophers, Designers, and a Tilting Bowl. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kei Katahira, Yu Chen 0007 Heterogeneous Round-Trip Trading and the Emergence of Volatility Clustering in Speculation Game. Search on Bibsonomy J. Syst. Sci. Complex. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Simone Scaboro, Beatrice Portelli, Emmanuele Chersoni, Enrico Santus, Giuseppe Serra 0001 Increasing Adverse Drug Events extraction robustness on social media: case study on negation and speculation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ziv Epstein, Hope Schroeder, Dava Newman When happy accidents spark creativity: Bringing collaborative speculation to life with generative AI. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Olivier Flückiger, Jan Jecmen, Sebastián Krynski, Jan Vitek Deoptless: Speculation with Dispatched On-Stack Replacement and Specialized Continuations. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ziv Epstein, Hope Schroeder, Dava Newman When happy accidents spark creativity: Bringing collaborative speculation to life with generative AI. Search on Bibsonomy ICCC The full citation details ... 2022 DBLP  BibTeX  RDF
16Juan Salamanca 0001 Performance Comparison of Speculative Taskloop and OpenMP-for-Loop Thread-Level Speculation on Hardware Transactional Memory. Search on Bibsonomy ISPDC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Hunjun Lee, Chanmyeong Kim, Minseop Kim, Yujin Chung, Jangwoo Kim NeuroSync: A Scalable and Accurate Brain Simulator Using Safe and Efficient Speculation. Search on Bibsonomy HPCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Mengming Li, Chenlu Miao, Yilong Yang 0006, Kai Bu unXpec: Breaking Undo-based Safe Speculation. Search on Bibsonomy HPCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Gengshen Fu, Thibaud Senechal, Aaron Challenner, Tao Zhang Unified Speculation, Detection, and Verification Keyword Spotting. Search on Bibsonomy ICASSP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yuguang Wang, Robbie Watling, Junqiao Qiu, Zhenlin Wang GSpecPal: Speculation-Centric Finite State Machine Parallelization on GPUs. Search on Bibsonomy IPDPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1035 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license