The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Landon Schmucker, Payman Zarkesh-Ha, Luke Emmert, Wolfgang Rudolph, Vitaly Gruzdev A Subthreshold CMOS Inverter-Based Amplifier for Low Power and Low Noise Applications. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Haotao Lin, Haibiao Zuo, Qiaozhou Peng, Xiaojin Zhao A 690fJ/Bit ML-Attack-Resilient Strong PUF Based on Subthreshold Voltage Attenuator Ring with Closed-Loop Feedback. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yimai Peng, Ashwin Bhat, Sanjay Wadhwa, David T. Blaauw, Dennis Sylvester A 4.6nW Subthreshold Voltage Reference with 400× Current Variation Reduction and 64-Step 0.11% Output Voltage Programmability. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Reza Papi, Fereidoon Hashemi Noshahr, Benoit Gosselin A New Current-Mode Subthreshold, High-PSRR MOSFET-Only Bandgap Voltage Reference. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Nicola Lepri, P. Gibertini, Piergiulio Mannocci, A. Pirovano, I. Tortorelli, Paolo Fantini, Daniele Ielmini In-memory neural network accelerator based on phase change memory (PCM) with one-selector/one-resistor (1S1R) structure operated in the subthreshold regime. Search on Bibsonomy IMW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ole Richter, Hugh Greatorex, Benjamin Hucko, Madison Cotteret, Willian Soares Girão, Ella Janotte, Michele Mastella, Elisabetta Chicca A Subthreshold Second-Order Integration Circuit for Versatile Synaptic Alpha Kernel and Trace Generation. Search on Bibsonomy ICONS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Qiuyao Yu, Yu Lei 0003, Zhitang Song, Guang-Ming Zhang, Houpeng Chen A 1S1R Model with the Monte Carlo Function for Subthreshold Sensing Operation. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Qiaozhou Peng, Haibiao Zuo, Jiacheng Hao, Xiaojin Zhao A Subthreshold-Inverter-Based Strong PUF with High Reliability and Energy Efficiency. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Jiajia Chen, Jiani Gu, Zhi Gong, Chengji Jin, Huan Liu, Xiao Yu, Genquan Han Effect of Mobile Ions on Subthreshold Swing of HfO2-based Ferroelectric Field-Effect Transistors. Search on Bibsonomy ICICDT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yen-Chen Chang, Wei-Heng Tai, Jyi-Tsong Lin Steeper Subthreshold Swing Attained in Ge-Source Inductive Tunneling FET via Epitaxial Tunnel Layer for Suppressed Point Tunneling. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Daniel J. Mannion, Wing H. Ng, Adnan Mehonic, Anthony J. Kenyon A Compact SPICE Model for Current Transients within the Subthreshold Regime of Memristors. Search on Bibsonomy MetroXRAINE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yuxuan Huang, Feng Yan, Kangkang Sun, Jingjing Liu A Self-Biased Subthreshold CMOS Voltage Reference with Temperature Compensation Circuit for IoT Self-Powered Sensor Applications. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Viet Nguyen, Filippo Schembari, Robert Bogdan Staszewski A Deep-Subthreshold Variation-Aware 0.2-V Open-Loop VCO-Based ADC. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Mousa Karimi, Mohamed Ali 0001, Ahmad Hassan, Reza Bostani, Boris Vaisband, Mohamad Sawan, Benoit Gosselin A 7.6-ns Delay Subthreshold Level-Shifter Leveraging a Composite Transistor and a Voltage-Controlled Current Source. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yuting Chen, Yuxuan Nie, Hailong Jiao An Ultralow-Power 65-nm Standard Cell Library for Near/Subthreshold Digital Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Corneliu A. Marinov, Ruxandra-Liana Costea Correction to: Designing a Winner-Loser Gap for WTA in Subthreshold. Resolution Performance Revisited. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Corneliu A. Marinov, Ruxandra-Liana Costea Designing a Winner-Loser Gap for WTA in Subthreshold. Resolution Performance Revisited. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Xin Xin 0005, Yuanhao Hu, Jueping Cai, Siwan Dong, Xingyuan Tong A 0.6-V, 1.56-nW, 5.87-ppm/°C, 0.23%/V CMOS-Only Subthreshold Voltage Reference with the Threshold Voltage Difference. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Léopold Van Brandt, Roghayeh Saeidi, David Bol, Denis Flandre Accurate and Insightful Closed-Form Prediction of Subthreshold SRAM Hold Failure Rate. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Martin Lee, Kambiz Moez A 0.5-1.7 V Efficient and PVT-Invariant Constant Subthreshold gm Reference Circuit in CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jack Kee Yong, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Gabriel Chong, Saad Mekhilef, Yong Chen 0005, Pui-In Mak, Rui Paulo Martins A 0.1-V VIN Subthreshold 3-Stage Dual-Branch Charge Pump With 43.4% Peak Power Conversion Efficiency Using Advanced Dynamic Gate-Bias. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Javier Beloso-Legarra, Alfio Dario Grasso, Antonio J. López-Martín, Gaetano Palumbo, Salvatore Pennisi Two-Stage OTA With All Subthreshold MOSFETs and Optimum GBW to DC-Current Ratio. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hamed Aminzadeh Subthreshold reference circuit with curvature compensation based on the channel length modulation of MOS devices. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Mengxuan Yang, Qianqian Huang, Kaifeng Wang, Chang Su, Liang Chen, Yangyuan Wang, Ru Huang Physical investigation of subthreshold swing degradation behavior in negative capacitance FET. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Arvind Thakur, Rishikesh Pandey, Shireesh Kumar Rai A High-Order Temperature-Compensated Subthreshold Voltage Reference Using Channel Length Modulation Compensation Technique. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Shelja Kaushal, Ashwani K. Rana Analytical model of subthreshold drain current for nanoscale negative capacitance junctionless FinFET. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Shalini Chaudhary, Basudha Dewan, Chitrakant Sahu, Menka Yadav Steep-subthreshold slope dual gate negative capacitance junction less FET with dead channel: TCAD approach for digital/ RF applications. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Ajit Kumar, Pramod Kumar Tiwari, J. N. Roy Subthreshold model of asymmetric GAA junctionless FETs with scaled equivalent oxide thickness. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Rodrigo Felipe De Oliveira Pena, Horacio G. Rotstein The voltage and spiking responses of subthreshold resonant neurons to structured and fluctuating inputs: persistence and loss of resonance and variability. Search on Bibsonomy Biol. Cybern. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Shuzheng Mu, Pak Kwong Chan Design of Precision-Aware Subthreshold-Based MOSFET Voltage Reference. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Gaudencio Paz-Martínez, Ignacio Iñiguez-de-la-Torre, Héctor Sánchez-Martín, José Antonio Novoa-López, Virginie Hoel, Yvon Cordier, Javier Mateos, Tomás González Temperature and Gate-Length Dependence of Subthreshold RF Detection in GaN HEMTs. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yuanfeng Xie, Gang Li, Pengjun Wang, Ziyu Zhou A compact weak PUF circuit based on MOSFET subthreshold leakage current. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Huan Ma, Dafu Zhang, Dewei Sun, Hongbo Wang, Jianzhong Yang Gray and white matter structural examination for diagnosis of major depressive disorder and subthreshold depression in adolescents and young adults: a preliminary radiomics analysis. Search on Bibsonomy BMC Medical Imaging The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Massimo Vatalaro, Raffaele De Rose, Marco Lanuzza, Felice Crupi Stability-Area Trade-off in Static CMOS PUF Based on 4T Subthreshold Voltage Divider. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jagan K. Balasubramanian, Rahul Kumar Ray, Manivannan Muniyandi Effect of Subthreshold Vibration on the Perception of Electrovibration. Search on Bibsonomy HAID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Chung-En Tsai, Chun-Yi Cheng, Bo-Wei Huang, Hsin-Cheng Lin, Tao Chou, Chien-Te Tu, Yi-Chun Liu, Sun-Rong Jan, Yu-Rui Chen, Wan-Hsuan Hsieh, Kung-Ying Chiu, Shee-Jier Chueh, Chee Wee Liu Nearly Ideal Subthreshold Swing and Delay Reduction of Stacked Nanosheets Using Ultrathin Bodies. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Karthi Srinivasan, Glenn E. R. Cowan Subthreshold CMOS Implementation of the Izhikevich Neuron Model. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Loïc Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Camilla Kärnfelt A 0.35-μm subthreshold CMOS ASIC for a Smart Contact Lens Eye-Tracker. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Satoshi Moriya, Hideaki Yamamoto, Shigeo Sato, Yasushi Yuminaka, Yoshihiko Horio, Jordi Madrenas A Fully Analog CMOS Implementation of a Two-variable Spiking Neuron in the Subthreshold Region and its Network Operation. Search on Bibsonomy IJCNN The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Chang Liu, Yiming Liu, Song Wei, Yi Zhao The Effect of Sampling Interval and Various Difference Approximation Methods on Extracting the Subthreshold Swing in InGaZnO Thin Film Transistor. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Mamidala Karthik Ram, Neha Tiwari, Dawit Burusie Abdi, Sneh Saurabh Effect of Drain Induced Barrier Enhancement on Subthreshold Swing and OFF-State Current of Short Channel MOSFETs: A TCAD Study. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Kamlesh Singh, Barry de Bruin, Hailong Jiao, Jos Huisken, Henk Corporaal, José Pineda de Gyvez Converter-Free Power Delivery Using Voltage Stacking for Near/Subthreshold Operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Turki Alnuayri, S. Saqib Khursheed, Antonio Leonel Hernández Martínez, Daniele Rossi 0001 Differential Aging Sensor Using Subthreshold Leakage Current to Detect Recycled ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Selvakumar Rajendran, Arvind Chakrapani A 16 ns, 28 fJ Wide-Range Subthreshold Level Converter Using Low-Voltage Current Mirror. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Rahul Kumar Ray, Payal Patel, Manivannan Muniyandi Reduction of electrotactile perception threshold using subthreshold vibrotactile stimuli. Search on Bibsonomy Displays The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Harekrishna Kumar, V. K. Tomar Design of Low Power with Expanded Noise Margin Subthreshold 12T SRAM Cell for Ultra-Low Power Devices. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Arvind Thakur, Rishikesh Pandey, Shireesh Kumar Rai A Low Supply Voltage, Low Line Sensitivity and High PSRR Subthreshold CMOS Voltage Reference. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Lazhar Fekih-Ahmed A Constructive Methodology of Analog Synthesis of Nonlinear Functions in Subthreshold CMOS. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jingjing Guo, Peng Cao 0002, Mengxiao Li, Yu Gong, Zhiyuan Liu 0011, Geng Bai, Jun Yang 0006 Semi-Analytical Path Delay Variation Model With Adjacent Gates Decorrelation for Subthreshold Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Quanzhen Duan, Chenxi Lin, Peiju Liu, S. Huang, Zhen Meng A 2.1 ppm/°C, 0.55-2.4 V, 5.6 nW, 235 mV, CMOS-only subthreshold voltage reference. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Zhentao Xu, Zhi Lin Low-power current reference with temperature compensation by subthreshold leakage current. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Sougata Ghosh, Vijaya Bhadauria An ultra-low-power bulk-driven subthreshold super class-AB rail-to-rail CMOS OTA with enhanced small and large signal performance suitable for large capacitive loads. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Matthieu Couriol, Patsy Cadareanu, Edouard Giacomin, Pierre-Emmanuel Gaillardon A Novel High-Gain Amplifier Circuit Using Super-Steep-Subthreshold-Slope Field-Effect Transistors. Search on Bibsonomy VLSI-SoC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Matthieu Couriol, Patsy Cadareanu, Edouard Giacomin, Pierre-Emmanuel Gaillardon A First Approach in Using Super-Steep-Subthreshold-Slope Field-Effect Transistors in Ultra-Low Power Analog Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Chaitanya Goswami, Pulkit Grover HingePlace: Focused transcranial electrical current stimulation that allows subthreshold fields outside the stimulation target. Search on Bibsonomy EMBC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Rahul Kumar Ray, M. Manivannan 0001 Spatial Summation of Electro-Tactile Displays at Subthreshold. Search on Bibsonomy IHIET (Strasbourg) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Haoyu Hu, Chenchang Zhan, Lidan Wang A 1.1-V 26.2-ppm/°C Sub-Bandgap Voltage Reference with 6.5-nW Power Consumption Composed of Subthreshold CMOSs and Single BJT. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Zhenhao Li, Chenchang Zhan, Lidan Wang An Ultra-low Power Consumption Dual-Output Subthreshold CMOS Voltage Reference. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Christophe Loyez, Kevin Carpentier, Ilias Sourikopoulos, François Danneville Subthreshold neuromorphic devices for Spiking Neural Networks applied to embedded A.I. Search on Bibsonomy NEWCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Subthreshold Power PC and Nand Race-Free Flip-Flops in Frequency Divider Applications. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15David Zagouri, Joseph Shor A Subthreshold Voltage Reference with Coarse- Fine Voltage Trimming. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yingxin Chen, Kai Xiao, Haihua Wang, Jing Wan The Impact of Incident Wavelength and Incident Intensity on Light-modulated Subthreshold Swing Effect. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jinliang Han, Yongzhong Wen, Yuejun Zhang, Pengjun Wang, Huihong Zhang A 65nm Reliable Near-Subthreshold Standard Cells Design Using Schmitt Trigger. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Shigeo Sato, Satoshi Moriya, Yuka Kanke, Hideaki Yamamoto, Yoshihiko Horio, Yasushi Yuminaka, Jordi Madrenas A Subthreshold Spiking Neuron Circuit Based on the Izhikevich Model. Search on Bibsonomy ICANN (5) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Roberto Andrino Robles, Tomochika Harada A Low Power 0.6V Filter-less AD-PLL with a Fast Locking Algorithm in the Subthreshold Region. Search on Bibsonomy ISPACS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Keun-Mok Kim, Hyun-Gi Seok, Jeong-Il Seo, Kyung-Sik Choi, Sang-Gug Lee 0001 0.6 V 8.1/0.2µW Ultra-Low-Power Logarithmic Power Detectors Employing Subthreshold MOS Transistors. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Nack-Hyeon Keum, Seong-Kwan Hong, Oh-Kyong Kwon An AMOLED Pixel Circuit With a Compensating Scheme for Variations in Subthreshold Slope and Threshold Voltage of Driving TFTs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Min Pan, Lili Pang 0002, Jiaye Xie, Yufei Han, Qiqing Xu A 0.6V 44.6 ppm/ºC subthreshold CMOS voltage reference with wide temperature range and inherent leakage compensation. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Pratosh Kumar Pal, Rajendra Kumar Nagaria A Sub-1 V nanopower subthreshold current and voltage reference using current subtraction technique and cascoded active load. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Niraj Kumar Singh, Monika Kumari, Manodipan Sahoo A Compact Short-Channel Analytical Drain Current Model of Asymmetric Dual-Gate TMD FET in Subthreshold Region Including Fringing Field Effects. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Xingyuan Tong, Andi Yang, Siwan Dong A 17.6-nW 35.7-ppm/°C Temperature Coefficient All-SVT-MOSFET Subthreshold Voltage Reference in Standard 0.18-μm N-Well CMOS. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Andrea Ballo, Alfio Dario Grasso, Gaetano Palumbo A Subthreshold Cross-Coupled Hybrid Charge Pump for 50-mV Cold-Start. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15G. Kalpana, Raja Krishnamoorthy, P. T. Kalaivaani Design and implementation of low-power CMOS biosignal amplifier for active electrode in biomedical application using subthreshold biasing strategy. Search on Bibsonomy Int. J. Wavelets Multiresolution Inf. Process. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Alexis Moscoso, David Rey-Bretal, Jesús Silva-Rodríguez, José M. Aldrey, Julia Cortés, Juan Pías-Peleteiro, Álvaro Ruibal, Pablo Aguiar 0001 White matter hyperintensities are associated with subthreshold amyloid accumulation. Search on Bibsonomy NeuroImage The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Joaquín J. Torres, Fabiano Baroni, Roberto Latorre, Pablo Varona Temporal discrimination from the interaction between dynamic synapses and intrinsic subthreshold oscillations. Search on Bibsonomy Neurocomputing The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Anala M. Reddy, B. P. Harish Process-induced variability modeling of subthreshold leakage power considering device stacking. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Arvind Thakur, Rishikesh Pandey, Shireesh Kumar Rai Low temperature coefficient and low line sensitivity subthreshold curvature-compensated voltage reference. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yang Zhao, Qianqian Huang, Rui Huang 0008 A novel tunnel FET design through hybrid modulation with optimized subthreshold characteristics and high drive capability. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jie Lin, Lidan Wang, Yan Lu 0002, Chenchang Zhan A Nano-Watt Dual-Output Subthreshold CMOS Voltage Reference. Search on Bibsonomy IEEE Open J. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Prakhar Sharma, Shourya Gupta, Kirti Gupta, Neeta Pandey A low power subthreshold Schmitt Trigger based 12T SRAM bit cell with process-variation-tolerant write-ability. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jinrui Liao, Yanhan Zeng, Jintao Li, Jingci Yang, Hong-Zhou Tan A 3.9 ppm/○C, 31.5 ppm/V ultra-low-power subthreshold CMOS-only voltage reference. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sumukh Nitundil, Nihal Singh, Rushabha Balaji, Pankaj Arora Design and Comparative Analysis of a Two-Stage Ultra-Low-Power Subthreshold Operational Amplifier in 180nm, 90nm, and 45nm technology. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Maria Masoliver, Cristina Masoller Neuronal Transmission of Subthreshold Periodic Stimuli Via Symbolic Spike Patterns. Search on Bibsonomy Entropy The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Takayuki Mori, Jiro Ida, Hiroki Endo Transient Characteristics on Super-Steep Subthreshold Slope "PN-Body Tied SOI-FET" - Simulation and Pulse Measurement -. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ulises A. Aregueta-Robles, Ya Lang Enke, Paul M. Carter, Rylie A. Green, Laura A. Poole-Warren Subthreshold Electrical Stimulation for Controlling Protein-Mediated Impedance Increases in Platinum Cochlear Electrode. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Haoyu Zhuang, Xiaodan Xi, Nan Sun 0001, Michael Orshansky A Strong Subthreshold Current Array PUF Resilient to Machine Learning Attacks. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yuwei Wang, Quan Sun, Hongrui Luo, Xiaofei Wang, Ruizhi Zhang 0002, Hong Zhang 0009 A 48 pW, 0.34 V, 0.019%/V Line Sensitivity Self-Biased Subthreshold Voltage Reference With DIBL Effect Compensation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Abilash Venkatesh, Aishwarya Bahudhanam Venkatasubramaniyan, Xiaodan Xi, Arindam Sanyal 0.3 pJ/Bit Machine Learning Resistant Strong PUF Using Subthreshold Voltage Divider Array. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Gabor Csipkes, Doris Csipkes, Robert Groza A Programmable Subthreshold Capacitance Scaler for Medical Signal Conditioning. Search on Bibsonomy AQTR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Margherita Ronchini, Milad Zamani, Hooman Farkhani, Farshad Moradi Tunable Voltage-Mode Subthreshold CMOS Neuron. Search on Bibsonomy ISVLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15J. Ramírez Sánchez, A. Medina Vazquez, Ivan R. Padilla-Cantoya Reduced Low-Voltage Electromyographic Signal Acquisition System Using Subthreshold Technique. Search on Bibsonomy CCE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Matthias Eberlein, Harald Pretl Subthreshold-Hybrid Solutions for Thermal Sensor and Reference Circuits in Advanced CMOS. Search on Bibsonomy VLSI-SOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sherif M. Sharroush An MTCMOS Subthreshold-Leakage Reduction Algorithm. Search on Bibsonomy NILES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Multi-threshold Voltage and Dynamic Body Biasing Techniques for Energy Efficient Ultra Low Voltage Subthreshold Adders. Search on Bibsonomy NorCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Comparative Study of Single, Regular and Flip Well Subthreshold SRAMs in 22 nm FDSOI Technology. Search on Bibsonomy NorCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Roberto Andrino Robles, Tomochika Harada A 0.6V Programmable Frequency Divider and Digitally Controlled Oscillator for use in a Digital PLL in the Subthreshold Region. Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Roman Trobec, Maria Lindén, M. Sinkovec Impact of Subthreshold Transcutaneous Auricular Vagus Nerve Stimulation on the Heart Rate Variability and Atrial Arrhythmias. Search on Bibsonomy MIPRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Zhengyu Chen 0002, Sihua Fu, Qiankai Cao, Jie Gu 0001 A Mixed-Signal Time-Domain Generative Adversarial Network Accelerator with Efficient Subthreshold Time Multiplier and Mixed-Signal On-Chip Training for Low Power Edge Devices. Search on Bibsonomy VLSI Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Chaiyanut Aueamnuay, Ajmal Vadakkan Kayyil, Jialin Liu 0005, Narayana Bhagirath Thota, David J. Allstot gm/ID Design Considerations for Subthreshold-Based CMOS Two-Stage Operational Amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jingjing Guo, Peng Cao 0002, Mengxiao Li, Zhiyuan Liu 0011, Jun Yang 0006 Statistical Timing Model for Subthreshold Circuit with Correlated Variation Consideration. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1034 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license