The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1988 (19) 1989-1992 (18) 1993-1995 (19) 1996-1997 (27) 1998 (20) 1999 (30) 2000 (34) 2001 (32) 2002 (50) 2003 (49) 2004 (57) 2005 (51) 2006 (58) 2007 (63) 2008 (52) 2009 (25) 2010-2011 (16) 2012-2013 (18) 2014-2015 (20) 2016-2017 (20) 2018-2019 (24) 2020-2021 (24) 2022-2023 (20)
Publication types (Num. hits)
article(156) inproceedings(584) phdthesis(6)
Venues (Conferences, Journals, ...)
ISCA(37) MICRO(36) HPCA(22) IEEE Trans. Computers(21) CoRR(16) IEEE PACT(13) IPDPS(12) ASPLOS(10) ICCD(10) ICS(10) ISLPED(10) PACT(10) Euro-Par(9) ICPP(9) ACM Trans. Archit. Code Optim.(8) Asia-Pacific Computer Systems ...(7) More (+10 of total 336)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 731 occurrences of 466 keywords

Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Thomas Grün, Mark A. Hillebrand NAS Integer Sort on Multi-threaded Shared Memory Machines. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Teresa L. Johnson, Matthew C. Merten, Wen-mei W. Hwu Run-Time Spatial Locality Detection and Optimization. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF prefetching, data cache, cache management, spatial locality, block size
18Quinn Jacobson, Eric Rotenberg, James E. Smith 0001 Path-Based Next Trace Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Next Trace Prediction, Multiple Branch Prediction, Return History Stack, Path-Based Prediction, Trace Cache
18Mahesh Kalyanakrishnan, Ravishankar K. Iyer, Jaqdish U. Patel Reliability of Internet Hosts - A Case Study from the End User's Perspective. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Eric Rotenberg, Steve Bennett, James E. Smith 0001 Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, instruction cache, trace cache, instruction fetching
18Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest, Joel S. Emer Instruction Fetching: Coping with Code Bloat. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Beng-Hong Lim, Anant Agarwal Reactive Synchronization Algorithms for Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18George Panagopoulos, Christos Faloutsos Bit-Sliced Signature Files for Very Large Text Databases an a Parallel Machine Architecture. Search on Bibsonomy EDBT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
9Azarias Reda, Brian D. Noble, Yidnekachew Haile Distributing private data in challenged network environments. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF band-width, ethiopia, limited connectivity, www access, caching, prefetching, latency, developing regions, personal data, sms
9Arun Kumar, Ashok Anand, Aditya Akella, Athula Balachandran, Vyas Sekar, Srinivasan Seshan Flexible multimedia content retrieval using InfoNames. Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF InfoName, information based architecture, multimedia, naming, content retrieval
9Kai Wang, Zhaoyan Ming, Xia Hu, Tat-Seng Chua Segmentation of multi-sentence questions: towards effective question retrieval in cQA services. Search on Bibsonomy SIGIR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Yahoo! answers, question matching, question segmentation, question answering
9Jiayuan Meng, David Tarjan, Kevin Skadron Dynamic warp subdivision for integrated branch and memory divergence tolerance. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF branch divergence, memory divergence, cache, warp, latency hiding, simd
9Carlo Galuzzi, Chunyang Gou, Humberto Calderon, Georgi Gaydadjiev, Stamatis Vassiliadis High-bandwidth Address Generation Unit. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Address generation unit, Stride, Parallel memory
9Zhenpei Li, Ping Li, Ming Wu Digital oil and gas pipeline visualization using X3D. Search on Bibsonomy Web3D The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java native interface (JNI), OLE for process control (OPC), digital oil and gas pipeline, scene access interface (SAI), visualization, interaction, 3D modeling, extensible 3D (X3D)
9Masana Murase, Kanna Shimizu, Wilfred Plouffe, Masaharu Sakamoto Effective implementation of the cell broadband engineTM isolation loader. Search on Bibsonomy CCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cell broadband engine(tm), encrypt-then-sign, substituted-ciphertext attack, multi-core, isolation
9Sven Olufs, Markus Vincze A simple inexpensive interface for robots using the Nintendo Wii controller. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Dimitris Tsirogiannis, Stavros Harizopoulos, Mehul A. Shah, Janet L. Wiener, Goetz Graefe Query processing techniques for solid state drives. Search on Bibsonomy SIGMOD Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF columnar storage, late materialization, semi-join reduction, ssd, flash memory, join index
9Xiaogang Wang, Junzhou Luo, Ming Yang 0001, Zhen Ling A novel flow multiplication attack against Tor. Search on Bibsonomy CSCWD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Chen Liu 0001, Jean-Luc Gaudiot The Impact of Resource Sharing Control on the Design of Multicore Processors. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Martin Thuresson, Magnus Själander, Per Stenström A Flexible Code Compression Scheme Using Partitioned Look-Up Tables. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Byunghyun Jang, Synho Do, Homer H. Pien, David R. Kaeli Architecture-aware optimization targeting multithreaded stream computing. Search on Bibsonomy GPGPU The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Brook+, optimization, GPGPU
9Robert D. Cameron, Dan Lin 0003 Architectural support for SWAR text processing with parallel bit streams: the inductive doubling principle. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF inductive doubling, parallel bit streams, swar
9Ioana Burcea, Andreas Moshovos Phantom-BTB: a virtualized branch target buffer design. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF predictor metadata prefetching, predictor virtualization, branch target buffer
9Zhiguo Ge, Tulika Mitra, Weng-Fai Wong A DVS-based pipelined reconfigurable instruction memory. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable memory, low power, instruction cache
9Saikat Mukherjee, I. V. Ramakrishnan Automated Semantic Analysis of Schematic Data. Search on Bibsonomy World Wide Web The full citation details ... 2008 DBLP  DOI  BibTeX  RDF semantic partitioning, semantic bookmarks, semantic wrappers, assistive browsing, mobile-devices browsing, learning-based semantics
9Rama Sangireddy, Jatan P. Shah Operand-Load-Based Split Pipeline Architecture for High Clock Rate and Commensurable IPC. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Register File Power Reduction Using Bypass Sensitive Compiler. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yun-Nan Chang, Ting-Chi Tong An Efficient Design of H.264 Inter Interpolator with Bandwidth Optimization. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Inter-interpolation, Chroma interpolation, Luma interpolation, Inter-partition, H.264, Motion compensation
9Rashedur M. Rahman, Ken Barker 0001, Reda Alhajj Replica Placement Strategies in Data Grid. Search on Bibsonomy J. Grid Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Replication, Data Grid, Distributed environment, Network latency, Dynamic allocation
9Olatunde O. Abiona, Tricha Anjali, Lawrence O. Kehinde Simulation of a cyclic multicast proxy server. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jaejin Lee, Sangmin Seo, Chihun Kim, Junghyun Kim, Posung Chun, Zehra Sura, Jungwon Kim, Sangyong Han COMIC: a coherent shared memory interface for cell be. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heterogeneous multicores, software shared virtual memory, OpenMP, software distributed shared memory, Cell BE
9Huaping Wang, Israel Koren, C. Mani Krishna 0001 An adaptive resource partitioning algorithm for SMT processors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simultaneous multithreading, resource partitioning
9Vimal K. Reddy, Eric Rotenberg Coverage of a microarchitecture-level fault check regimen in a superscalar processor. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jiefeng Cheng, Jeffrey Xu Yu, Bolin Ding, Philip S. Yu, Haixun Wang Fast Graph Pattern Matching. Search on Bibsonomy ICDE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Martino Sykora, Giovanni Agosta, Cristina Silvano Dynamic configuration of application-specific implicit instructions for embedded pipelined processors. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF implicit issue, reconfiguration, pipelined architecture
9Hai Lin 0004, Yunsi Fei Harnessing Horizontal Parallelism and Vertical Instruction Packing of Programs to Improve System Overall Efficiency. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kshitiz Malik, Mayank Agarwal, Sam S. Stone, Kevin M. Woley, Matthew I. Frank Branch-mispredict level parallelism (BLP) for control independence. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Vivek K. Shanbhag Deadlock-Detection in Java-Library Using Static-Analysis. Search on Bibsonomy APSEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Pavol Návrat, Tomas Taraba, Anna Bou Ezzeddine, Daniela Chudá Context Search Enhanced by Readability Index. Search on Bibsonomy IFIP AI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Christian Morbidoni, Danh Le Phuoc, Axel Polleres, Matthias Samwald, Giovanni Tummarello Previewing Semantic Web Pipes. Search on Bibsonomy ESWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001, José Duato The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Alberto Castro, Martín Germán, Xavier Masip-Bruin, Marcelo Yannuzzi, Roque Gagliano, Eduardo Grampín Advantages of a PCE-based control plane for LISP. Search on Bibsonomy CoNEXT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, J. L. Villarroel, Víctor Viñals Avoiding the WCET Overestimation on LRU Instruction Cache. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Wenwu Na, Xiaoxuan Meng, Chengxiang Si, Jian Ke, Xudong Zhu, Qingzhong Bu, Lu Xu 0001 A Novel Network RAID Architecture with Out-of-Band Virtualization and Redundant Management. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hend S. Al-Khalifa Utilizing QR Code and Mobile Phones for Blinds and Visually Impaired People. Search on Bibsonomy ICCHP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Junichi Funasaka, Akihiko Ishidu, Kenji Ishida A File Fetching Method to Reduce the Redundant Traffic on BitTorrent-Like P2P Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Carmelo Acosta, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MFLUSH: Handling Long-Latency Loads in SMT On-Chip Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mattias Rehnman, Tobias Gentzell Synchronization in a force measurement system using EtherCAT. Search on Bibsonomy ETFA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Morgan McGuire, Kyle Whitson Indirection mapping for quasi-conformal relief texturing. Search on Bibsonomy SI3D The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heightfield, parallax occlusion, relief, terrain, bump
9Sandeep Pandey, Christopher Olston Crawl ordering by search impact. Search on Bibsonomy WSDM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crawl ordering, impact-driven crawling, web crawling
9Alejandro García, Oliverio J. Santana, Enrique Fernández, Pedro Medina, Mateo Valero LPA: A First Approach to the Loop Processor Architecture. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ravi Chandra Jammalamadaka, Roberto Gamboni, Sharad Mehrotra, Kent E. Seamons, Nalini Venkatasubramanian iDataGuard: an interoperable security middleware for untrusted internet data storage. Search on Bibsonomy Middleware (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interoperability, key management, data security, data representation
9Hao Yu 0008, Hubertus Franke, Giora Biran, Amit Golander, Terry Nelms, Brian M. Bass Stateful hardware decompression in networking environment. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yehuda Afek, Eli Gafni, Adam Morrison 0001 Common2 extended to stacks and unbounded concurrency. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Common2, Unbounded concurrency, Immediate snapshot, Stacks, Wait-free
9Nikolaos Laoutaris, Georgios Smaragdakis, Azer Bestavros, Ibrahim Matta, Ioannis Stavrakakis Distributed Selfish Caching. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Wen-Wen Hsieh, Po-Yuan Chen, Chun-Yao Wang, TingTing Hwang A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu Performance-Driven Crosstalk Elimination at Postcompiler Level-The Case of Low-Crosstalk Op-Code Assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Robert Sisneros, Chad Jones, Jian Huang 0007, Jinzhu Gao, Byung-Hoon Park, Nagiza F. Samatova A Multi-Level Cache Model for Run-Time Optimization of Remote Visualization. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed visualization, performance analysis, caching, Remote visualization
9Jihyun In, Ilhoon Shin, Hyojun Kim SWL: a search-while-load demand paging scheme with NAND flash memory. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF page fault handler, parallelization, NAND flash memory, demand paging, page replacement
9Albert Meixner, Daniel J. Sorin Error Detection Using Dynamic Dataflow Verification. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ryan D. Riley, Xuxian Jiang, Dongyan Xu An Architectural Approach to Preventing Code Injection Attacks. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Secure Memory Architecture, Code Injection
9Vimal K. Reddy, Eric Rotenberg Inherent Time Redundancy (ITR): Using Program Repetition for Low-Overhead Fault Tolerance. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Humberto Calderon, Carlo Galuzzi, Georgi Gaydadjiev, Stamatis Vassiliadis High-Bandwidth Address Generation Unit. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Francisco J. Cazorla, Enrique Fernández, Peter M. W. Knijnenburg, Alex Ramírez, Rizos Sakellariou, Mateo Valero On the Problem of Minimizing Workload Execution Time in SMT Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Manuel Sánchez, Gabriel López Millán, Óscar Cánovas Reverte, Antonio Fernandez Gómez-Skarmeta Bootstrapping a Global SSO from Network Access Control Mechanisms. Search on Bibsonomy EuroPKI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF authorization, federation, SSO, SAML
9Aurélie Clodic, Rachid Alami, Vincent Montreuil, Shuyin Li, Britta Wrede, Agnes Swadzba A study of interaction between dialog and decision for human-robot collaborative task achievement. Search on Bibsonomy RO-MAN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shuming Chen, Pengyong Ma FROCM: A Fair and Low-Overhead Method in SMT Processor. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shefali Bhat, C. Anantaram, Hemant K. Jain Framework for Text-Based Conversational User-Interface for Business Applications. Search on Bibsonomy KSEM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ontology, semantic web, email, Natural Language Interface, Conversational systems
9Gadi Taubenfeld Efficient Transformations of Obstruction-Free Algorithms into Non-blocking Algorithms. Search on Bibsonomy DISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9David P. Anderson, John McLeod Local Scheduling for Volunteer Computing. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mathias Boc, Anne Fladenmuller, Marcelo Dias de Amorim Otiy: locators tracking nodes. Search on Bibsonomy CoNEXT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Carlos D. Correa, Deborah Silver Programmable shaders for deformation rendering. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Prateek Pujara, Aneesh Aggarwal Increasing cache capacity through word filtering. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache capacity, cache compression, cache noise, cache organization, cache miss rate
9Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee Cache Organizations for H.264/AVC Motion Compensation. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Impact of interconnect length changes on effective materials properties (dielectric constant). Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, routing, interconnect, cycle time, interconnect model, rent, path delay
9Yuying Wang, Xingshe Zhou 0001 Mutual Effect of Instruction Layout Optimization and Instruction Memory Hierarchy. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction Layout Optimization, Instruction Cache Miss Rate, Cache Memory Hierarchy
9Hung-Chang Hsiao, Hao Liao A Novel Peer-to-Peer Overlay for Live Media Broadcasting. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA code acceleration
9Kevin K. O'Brien Techniques for code and data management in the local stores of the cell processor. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF invited talk
9Pavol Návrat, Tomas Taraba Context Search. Search on Bibsonomy Web Intelligence/IAT Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Rashedur M. Rahman, Ken Barker 0001, Reda Alhajj Study of Different Replica Placement and Maintenance Strategies in Data Grid. Search on Bibsonomy CCGRID The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Weidong Shi, Hsien-Hsin S. Lee Accelerating memory decryption and authentication with frequent value prediction. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF message authentication, value prediction, secure processors
9Fei Gao, Hanyu Cui, Suleyman Sair Two-level ata prefetching. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Nobuyuki Yamasaki, Ikuo Magaki, Tsutomu Itou Prioritized SMT Architecture with IPC Control Method for Real-Time Processing. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Michael Huggett Information-behaviour modeling with external cues. Search on Bibsonomy SIGIR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF contextual retrieval, information behaviour, sensor indexing, spreading activation networks, temporal indexing
9Atsushi Kawano, Junichi Funasaka, Kenji Ishida Parallel Downloading Using Variable Length Blocks for Proxy Servers. Search on Bibsonomy ICDCS Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mohammad Islam, Pavan Balaji, Gerald Sabin, P. Sadayappan Analyzing and Minimizing the Impact of Opportunity Cost in QoS-aware Job Scheduling. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shaikh Mostafa Al Masum, Helmut Prendinger, Mitsuru Ishizuka Emotion Sensitive News Agent: An Approach Towards User Centric Emotion Sensing from the News. Search on Bibsonomy Web Intelligence The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sylvain Lefebvre 0001, Carsten Dachsbacher TileTrees. Search on Bibsonomy SI3D The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interactive painting, texturing, texture mapping
9Tae-Young Chang, Zhenyun Zhuang, Aravind Velayutham, Raghupathy Sivakumar Client-side web acceleration for low-bandwidth hosts. Search on Bibsonomy BROADNETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Liu Huilin, Kou Chunhua, Wang Guangxing Efficiently Crawling Strategy for Focused Searching Engine. Search on Bibsonomy APWeb/WAIM Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Junhao Zheng, Lei Deng, Peng Zhang, Don Xie An Efficient VLSI Architecture for Motion Compensation of AVS HDTV Decoder. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF motion compensation, VLSI architecture, AVS
9Chi Ta Wu, Ang-Chih Hsieh, TingTing Hwang Instruction buffering for nested loops in low-power design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Lorrie Faith Cranor, Praveen Guduru, Manjula Arjula User interfaces for privacy agents. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF privacy, preferences, privacy policy, P3P, privacy enhancing technology, user agent
9Shlomi Dolev, Yinnon A. Haviv Self-Stabilizing Microprocessor: Analyzing and Overcoming Soft Errors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Self-stabilization, microprocessor, soft errors, single event upset
9Bin Wu 0014, Ajay D. Kshemkalyani Objective-Optimal Algorithms for Long-Term Web Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hit rate, optimal object selection, World Wide Web, randomized algorithm, bandwidth, Web server, Web caching, content distribution, Web prefetching, Web object
9Rama Sangireddy Reducing Rename Logic Complexity for High-Speed and Low-Power Front-End Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wide-issue processors, integer pipeline, rename logic complexity, front-end power consumption
9Kimmo Roimela, Tomi Aarnio, Joonas Itäranta High dynamic range texture compression. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compression, texture, image, graphics hardware, high dynamic range, HDR
Displaying result #401 - #500 of 746 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license