The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Pradeep Kumar Chawda, Shrikrishna Srinivasan An automated flow for design validation of switched mode power supply. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Patrick Girard 0001 Power-aware testing in the Era of IoT. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Pushen Wang, Tajana Rosing Deep neural network acceleration framework under hardware uncertainty. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Raghav Mehta, Yuyang Huang, Mingxi Cheng, Shrey Bagga, Nishant Mathur, Ji Li 0006, Jeffrey Draper, Shahin Nazarian High performance training of deep neural networks using pipelined hardware acceleration and distributed memory. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ruslan Dautov, Sergey G. Mosin A technique to aggregate classes of analog fault diagnostic data based on association rule mining. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jialing Li, Kangjun Bai, Lingjia Liu 0001, Yang Yi 0002 A deep learning based approach for analog hardware implementation of delayed feedback reservoir computing system. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jiacong He, Joseph Callenes-Sloan Optimizing energy in a DRAM based hybrid cache. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sarmad Tanwir, Michael S. Hsiao, Loganathan Lingappan An online framework for diagnosis of multiple defects in scan chains. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Milena Vratonjic, Harmander Singh, Gautam Kumar, Roumi Mohamed, Ashish Bajaj, Ken Gainey Power and performance aware memory-controller voting mechanism. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mahdi Elghazali, Manoj Sachdev, Ajoy Opal An ESD transient clamp with 494 pA leakage current in GP 65 nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Albert Ciprut, Eby G. Friedman On the write energy of non-volatile resistive crossbar arrays with selectors. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zoha Pajouhi Energy efficient neuromorphic processing using spintronic memristive device with dedicated synaptic and neuron terminology. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Aydin Dirican, Cagatay Ozmen, Martin Margala A droop measurement built-in self-test circuit for digital low-dropout regulators. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gaorong Qian, Yuhua Cheng, Guoxiong Chen, Gaofeng Wang New AC resistance calculation of printed spiral coils for wireless power transfer. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xi Chen, Gang Qu 0001, Aijiao Cui, Carson Dunbar Scan chain based IP fingerprint and identification. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chia-Chun Lin, Chiao-Wei Huang, Chun-Yao Wang, Yung-Chih Chen In&Out: Restructuring for threshold logic network optimization. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siarhei S. Zalivaka, Alexander A. Ivaniuk, Chip-Hong Chang FPGA implementation of modeling attack resistant arbiter PUF with enhanced reliability. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sahand Salamat, Mehrnaz Ahmadi, Bijan Alizadeh, Masahiro Fujita Systematic approximate logic optimization using don't care conditions. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kaisheng Ma, Minli Julie Liao, Xueqing Li, Zhixuan Huan, Jack Sampson Evaluating tradeoffs in granularity and overheads in supporting nonvolatile execution semantics. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammed Alawad, Mingjie Lin Stochastic-based multi-stage streaming realization of deep convolutional neural network. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Navid Khoshavi, Soheil Salehi, Ronald F. DeMara Variation-immune resistive Non-Volatile Memory using self-organized sub-bank circuit designs. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xin Fan 0002, Jan Stuijt, Rui Wang, Bo Liu, Tobias Gemmeke Re-addressing SRAM design and measurement for sub-threshold operation in view of classic 6T vs. standard cell based implementations. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pravin Mane, Sudeep Mishra, Ravish Deliwala, C. K. Ramesha Adder implementation in reconfigurable resistive switching crossbar. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Leo Filippini, Diane Lim, Lunal Khuon, Baris Taskin Wireless charge recovery system for implanted electroencephalography applications in mice. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hadi Ahmadi Balef, Hailong Jiao, José Pineda de Gyvez, Kees Goossens An analytical model for interdependent setup/hold-time characterization of flip-flops. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lei Zhao, Lei Jiang 0001, Youtao Zhang, Nong Xiao, Jun Yang 0002 Constructing fast and energy efficient 1TnR based ReRAM crossbar memory. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sameer Shekhar, Amit K. Jain, Pooja Nukala Data interface buffer compensation scheme for fast calibration. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Anteneh Gebregiorgis, Mehdi Baradaran Tahoori Post-fabrication calibration of Near-Threshold circuits for energy efficiency. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyeonchan Lim, Sungyoul Seo, Soyeon Kang, Sungho Kang Broadcast scan compression based on deterministic pattern generation algorithm. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ning Liu 0007, Xue Lin, Yanzhi Wang Data center power management for regulation service using neural network-based power prediction. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shumpei Morita, Song Bian 0001, Michihiro Shintani, Masayuki Hiromoto, Takashi Sato Comparative study of path selection and objective function in replacing NBTI mitigation logic. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abhijit Das, Joonsung Park High precision yet wide range on-chip oscillator with dual charge-discharge technique. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gustavo A. Chaparro-Baquero, Shi Sha, Soamar Homsi, Wujie Wen, Gang Quan Processor/memory Co-Scheduling using periodic resource server for real-time systems under peak temperature constraints. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kaoru Furumi, Masashi Imai, Atsushi Kurokawa Cooling architectures using thermal sidewalls, interchip plates, and bottom plate for 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shaahin Angizi, Zhezhi He, Ronald F. DeMara, Deliang Fan Composite spintronic accuracy-configurable adder for low power Digital Signal Processing. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ruturaj Pujari, Shaloo Rakheja Performance evaluation of copper and graphene nanoribbons in 2-D NoC structures. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuhan Fu, Masayuki Ikebe, Takeshi Shimada, Tetsuya Asai, Masato Motomura Low latency divider using ensemble of moving average curves. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiaoming Chen 0003, Qiaoyi Liu, Yu Wang 0002, Qiang Xu 0001, Huazhong Yang Low-overhead implementation of logic encryption using gate replacement techniques. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stephen K. Heinrich-Barna, Clyde Dunn, Doug Verret Low temperature endurance failures on flash memory. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Daijoon Hyun, Wachirawit Ponghiran, Youngsoo Shin Clock tree optimization through selective airgap insertion. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shanshan Liu 0001, Liyi Xiao, Jie Li 0030, Yihan Zhou, Zhigang Mao Low redundancy matrix-based codes for adjacent error correction with parity sharing. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 An energy efficient non-uniform Last Level Cache Architecture in 3D chip-multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lama Shaer, Rouwaida Kanj, Rajiv V. Joshi, Maria Malik, Ali Chehab Regularized logistic regression for fast importance sampling based SRAM yield analysis. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qinhao Wang, Yusuke Kimura, Masahiro Fujita Methods of equivalence checking and ECO support under C-based design through reproduction of C descriptions from implementation designs. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hongjia Li, Ji Li 0006, Wang Yao, Shahin Nazarian, Xue Lin, Yanzhi Wang Fast and energy-aware resource provisioning and task scheduling for cloud systems. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mehmet Meric Isgenc, Samuel Pagliarini, Renzhi Liu, Larry T. Pileggi Evaluating the benefits of relaxed BEOL pitch for deeply scaled ICs. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 18th International Symposium on Quality Electronic Design, ISQED 2017, Santa Clara, CA, USA, March 14-15, 2017 Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  BibTeX  RDF
1Insik Yoon, Arijit Raychowdhury Test challenges in embedded STT-MRAM arrays. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei-Hao Chen, Win-San Khwa, Jun-Yi Li, Wei-Yu Lin, Huan-Ting Lin, Yongpan Liu, Yu Wang 0002, Huaqiang Wu, Huazhong Yang, Meng-Fan Chang Circuit design for beyond von Neumann applications using emerging memory: From nonvolatile logics to neuromorphic computing. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ville Yli-Mäyry, Naofumi Homma, Takafumi Aoki Chosen-input side-channel analysis on unrolled light-weight cryptographic hardware. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sumeet Kumar Gupta, Danni Wang, Sumitha George, Ahmedullah Aziz, Xueqing Li, Suman Datta, Vijaykrishnan Narayanan Harnessing ferroelectrics for non-volatile memories and logic. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Scott Lerner, Baris Taskin Workload-aware ASIC flow for lifetime improvement of multi-core IoT processors. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yiting Chen, Dae Hyun Kim 0004 A legalization algorithm for multi-tier gate-level monolithic three-dimensional integrated circuits. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Debjyoti Bhattacharjee, Vikramkumar Pudi, Anupam Chattopadhyay SHA-3 implementation using ReRAM based in-memory computing architecture. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sam C. Lo, Taylor T. Lee, Aaron J. Barker High sigma statistical hold time analysis in FinFET sequential circuits. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hongyu An, M. Amimul Ehsan, Zhen Zhou, Yang Yi 0002 Electrical modeling and analysis of 3D synaptic array using vertical RRAM structure. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Tajana Rosing CAP: Configurable resistive associative processor for near-data computing. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shantanu Dutt, Ouwen Shi Power-delay product based resource library construction for effective power optimization in HLS. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subrata Das, Debesh Kumar Das A technique to construct global routing trees for graphene nanoribbon (GNR). Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Phaninder Alladi, Spyros Tragoudas Aging-aware critical paths for process related validation in the presence of NBTI. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Deepakreddy Vontela, Swaroop Ghosh Methodologies to exploit ATPG tools for de-camouflaging. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1J. Andres Torres, Germain Fenger, Daman Khaira, Yuansheng Ma, Yuri Granik, Chris Kapral, Joydeep Mitra, Polina Krasnova, Dehia Ait-Ferhat Overview and development of EDA tools for integration of DSA into patterning solutions. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Harry Kalargaris, Yi-Chung Chen, Vasilis F. Pavlidis STA compatible backend design flow for TSV-based 3-D ICs. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alexander Holst, Jae-Won Jang, Swaroop Ghosh Investigation of magnetic field attacks on commercial Magneto-Resistive Random Access Memory. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shaloo Rakheja Communication limits of on-chip graphene plasmonic interconnects. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jeferson José Baqueta, Felipe S. Marranghello, Vinicius N. Possani, Augusto Neutzling, André Inácio Reis, Renato P. Ribas Binary adder circuit design using emerging MIGFET devices. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sungyoul Seo, Hyeonchan Lim, Soyeon Kang, Sungho Kang Off-chip test architecture for improving multi-site testing efficiency using tri-state decoder and 3V-level encoder. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qianqian Fan, Sachin S. Sapatnekar, David J. Lilja Cost-quality trade-offs of approximate memory repair mechanisms for image data. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Navneet Gupta, Adam Makosiej, Andrei Vladimirescu, Amara Amara, Costin Anghel Tunnel FET based ultra-low-leakage compact 2T1C SRAM. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chunhua Qi, Liyi Xiao, Mingxue Huo, Tianqi Wang, Rongsheng Zhang, Xuebing Cao A 13T radiation-hardened memory cell for low-voltage operation and ultra-low power space applications. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amir Masoud Gharehbaghi, Masahiro Fujita A new approach for selecting inputs of logic functions during debug. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Everton Luís Berz, Deivid Antunes Tesch, Fabiano Passuelo Hessel A hybrid RFID and CV system for item-level localization of stationary objects. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wazir Singh, Yatharth Gupta, Paritosh Jivani, Sujay Deb Energy efficient biopotential acquisition unit for wearable health monitoring applications. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zihan Pang, Jiliang Zhang 0002, Qiang Zhou 0001, Shuqian Gong, Xu Qian, Bin Tang Crossover Ring Oscillator PUF. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lawrence T. Clark, James Adams, Keith E. Holbert Integrated circuit identification and true random numbers using 1.5-transistor flash memory. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pranav Ashar, Vikas Sachdeva, Vinod Viswanath Failures and verification solutions related to untimed paths in SOCs. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jizhe Zhang, Sandeep K. Gupta 0001 Wordline overdriving test: An effective predictive testing method for SRAMs against BTI aging. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kwangsoo Han, Andrew B. Kahng, Hyein Lee 0001, Lutong Wang Performance- and energy-aware optimization of BEOL interconnect stack geometry in advanced technology nodes. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dongjin Lee, Sourav Das, Partha Pratim Pande Performance-thermal trade-offs for a VFI-enabled 3D NoC architecture. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vijay Kiran Kalyanam, Peter G. Sassone, Jacob A. Abraham Power prediction of embedded scalar and vector processor: Challenges and solutions. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lita Yang, Boris Murmann SRAM voltage scaling for energy-efficient convolutional neural networks. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tao Liu 0023, Wujie Wen A fast and ultra low power time-based spiking neuromorphic architecture for embedded applications. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jakub Breier, Shivam Bhasin, Wei He An electromagnetic fault injection sensor using Hogge phase-detector. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maha Beheiry, Hassan Mostafa, Yehea Ismail, Ahmed M. Soliman 3D-NOCET: A tool for implementing 3D-NoCs based on the Direct-Elevator algorithm. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vinay Vashishtha, Ankita Dosi, Lovish Masand, Lawrence T. Clark Design technology co-optimization of back end of line design rules for a 7 nm predictive process design kit. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Samuel Pagliarini, Mayler G. A. Martins, Lawrence T. Pileggi Virtual characterization for exhaustive DFM evaluation of logic cell libraries. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sungkwan Ku, Elliott Forbes, Rangeen Basu Roy Chowdhury, Eric Rotenberg A case for standard-cell based RAMs in highly-ported superscalar processor structures. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xin Jiang 0003, Xiangyang Lei, Lian Zeng, Takahiro Watanabe High performance virtual channel based fully adaptive thermal-aware routing for 3D NoC. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tim Güneysu, Tobias Oder Towards lightweight Identity-Based Encryption for the post-quantum-secure Internet of Things. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kota Tsurumi, Masahiro Tanaka, Ken Takeuchi 0.6 V operation, 16 % faster set/reset ReRAM boost converter with adaptive buffer voltage for ReRAM and NAND flash hybrid solid-state drives. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Md. Nazmul Islam, Vinay C. Patil, Sandip Kundu Determining proximal geolocation of IoT edge devices via covert channel. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chenyuan Zhao, Jialing Li, Hongyu An, Yang Yi 0002 Energy efficient analog spiking temporal encoder with verification and recovery scheme for neuromorphic computing systems. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jian Hu, Tun Li, Sikun Li Equivalence checking between SLM and RTL using machine learning techniques. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Praveen Raghavan, Marie Garcia Bardon, Peter Debacker, P. Schuddinck, Doyoung Jang, Rogier Baert, Diederik Verkest, Aaron Voon-Yew Thean 5nm: Has the time for a device change come? Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Pietro Mercati, Tajana Rosing ReMAM: Low energy Resistive Multi-stage Associative Memory for energy efficient computing. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Sudeep Pasricha Process variation aware crosstalk mitigation for DWDM based photonic NoC architectures. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Haitong Tian, Martin D. F. Wong Performance evaluation considering mask misalignment in multiple patterning decomposition. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lalitha Sivaraj, Nurul Amziah Md Yunus, Mohd Nazim Mokhtar, Samsuzana Abd Aziz, Zurina Zainal Abidin, M. Iqbal Saripan, Fakhrul Zaman Rokhani Portable biosensor for chronic malaria detection. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fedor G. Pikus Decomposition technologies for advanced nodes. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Panagiotis Sismanoglou, Dimitris Nikolos Low capture power dictionary-based test data compression. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license