|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9462 occurrences of 2787 keywords
|
|
|
Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
35 | Ramya Prabhakar, Shekhar Srikantaiah, Christina M. Patrick, Mahmut T. Kandemir |
Dynamic storage cache allocation in multi-server architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Sourav Roy |
H-NMRU: A Low Area, High Performance Cache Replacement Policy for Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009, pp. 553-558, 2009, IEEE Computer Society, 978-0-7695-3506-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Anca Mariana Molnos, Marc J. M. Heijligers, Sorin Dan Cotofana |
Compositional, dynamic cache management for embedded chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 991-996, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Santanu Kumar Dash 0001, Thambipillai Srikanthan |
Rapid estimation of instruction cache hit rates using loop profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 19th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2008, July 2-4, 2008, Leuven, Belgium, pp. 263-268, 2008, IEEE Computer Society, 978-1-4244-1897-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Yu Sun 0006, Wei Zhang 0002 |
Adaptive Drowsy Cache Control for Java Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC (1) ![In: 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), Shanghai, China, December 17-20, 2008, Volume I, pp. 185-191, 2008, IEEE Computer Society, 978-0-7695-3492-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Riku Saikkonen, Eljas Soisalon-Soininen |
Cache-sensitive Memory Layout for Binary Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP TCS ![In: Fifth IFIP International Conference On Theoretical Computer Science - TCS 2008, IFIP 20th World Computer Congress, TC 1, Foundations of Computer Science, September 7-10, 2008, Milano, Italy, pp. 241-255, 2008, Springer, 978-0-387-09679-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Ali-Asghar Safaei, Mostafa Haghjoo, Sulmaz Abdi |
Semantic cache schema for query processing in mobile databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDIM ![In: Third IEEE International Conference on Digital Information Management (ICDIM), November 13-16, 2008, London, UK, Proceedings, pp. 644-649, 2008, IEEE, 978-1-4244-2917-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Song Jiang 0001, Kei Davis, Xiaodong Zhang 0001 |
Coordinated Multilevel Buffer Cache Management with Consistent Access Locality Quantification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(1), pp. 95-108, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multilevel caching, locality, Replacement algorithm, networked file system |
35 | Yoav Etsion, Dror G. Feitelson |
L1 Cache Filtering Through Random Selection of Memory References. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 235-244, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Yuho Jin, Eun Jung Kim 0001, Ki Hwan Yum |
A Domain-Specific On-Chip Network Design for Large Scale Cache Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 318-327, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | John Shield, Peter Sutton, Philip Machanick |
Analysis of Kernel Effects on Optimisation Mismatch in Cache Reconfiguration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007, pp. 625-628, 2007, IEEE, 1-4244-1060-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque |
Adaptive L2 Cache for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops ![In: Euro-Par 2007 Workshops: Parallel Processing, HPPC 2007, UNICORE Summit 2007, and VHPC 2007, Rennes, France, August 28-31, 2007, Revised Selected Papers, pp. 28-37, 2007, Springer, 978-3-540-78472-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Mohsen Soryani, Mohsen Sharifi, Mohammad Hossein Rezvani |
Performance Evaluation of Cache Memory Organizations in Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2-4 April 2007, Las Vegas, Nevada, USA, pp. 1045-1050, 2007, IEEE Computer Society, 978-0-7695-2776-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Ig-hoon Lee, Junho Shim, Sang-goo Lee, Jonghoon Chun |
CST-Trees: Cache Sensitive T-Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Advances in Databases: Concepts, Systems and Applications, 12th International Conference on Database Systems for Advanced Applications, DASFAA 2007, Bangkok, Thailand, April 9-12, 2007, Proceedings, pp. 398-409, 2007, Springer, 978-3-540-71702-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Feiqi Su, Xudong Shi 0003, Gang Liu, Ye Xia 0001, Jih-Kwon Peir |
Comparative evaluation of multi-core cache occupancy strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 13th International Conference on Parallel and Distributed Systems, ICPADS 2007, Hsinchu, Taiwan, December 5-7, 2007, pp. 1-8, 2007, IEEE Computer Society, 978-1-4244-1889-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Sung-Joon Jang, Moo-Kyoung Chung, Jaemoon Kim, Chong-Min Kyung |
Cache Miss-Aware Dynamic Stack Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 3494-3497, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Ann Gordon-Ross, Frank Vahid |
A Self-Tuning Configurable Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 234-237, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Francesco Silvestri 0001 |
On the Limits of Cache-Oblivious Matrix Transposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TGC ![In: Trustworthy Global Computing, Second Symposium, TGC 2006, Lucca, Italy, November 7-9, 2006, Revised Selected Papers, pp. 233-243, 2006, Springer, 978-3-540-75333-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Guoliang Li 0001, Jianhua Feng, Na Ta 0001, Yong Zhang 0002, Lizhu Zhou |
SCEND: An Efficient Semantic Cache to Adequately Explore Answerability of Views. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISE ![In: Web Information Systems - WISE 2006, 7th International Conference on Web Information Systems Engineering, Wuhan, China, October 23-26, 2006, Proceedings, pp. 460-473, 2006, Springer, 3-540-48105-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | John A. Gunnels, Fred G. Gustavson, Keshav Pingali, Kamen Yotov |
Is Cache-Oblivious DGEMM Viable? ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing. State of the Art in Scientific Computing, 8th International Workshop, PARA 2006, Umeå, Sweden, June 18-21, 2006, Revised Selected Papers, pp. 919-928, 2006, Springer, 978-3-540-75754-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Kim M. Hazelwood, Robert S. Cohn |
A Cross-Architectural Interface for Code Cache Manipulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 17-27, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Stanley L. C. Fung, J. Gregory Steffan |
Improving cache locality for thread-level speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Ozcan Ozturk 0001, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy |
Cache miss clustering for banked memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 244-250, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Hakjoo Lee, Jonghyun Suh, Sungwon Jung, Sooyoung Lee, Junguck Lee |
A Timestamp Tree-Based Cache Invalidation Report Scheme in Mobile Computing Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (2) ![In: Computational Science - ICCS 2006, 6th International Conference, Reading, UK, May 28-31, 2006, Proceedings, Part II, pp. 1065-1068, 2006, Springer, 3-540-34381-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Guiyi Wei, Jun Yu, Hanxiao Shi, Yun Ling |
A Semantic-Driven Cache Management Approach for Mobile Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2006, 6th International Conference, Reading, UK, May 28-31, 2006, Proceedings, Part III, pp. 184-191, 2006, Springer, 3-540-34383-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Won-Sik Kim, Woong-Kee Loh, Wook-Shin Han |
CC-GiST: Cache Conscious-Generalized Search Tree for Supporting Various Fast Intelligent Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISI ![In: Intelligence and Security Informatics, IEEE International Conference on Intelligence and Security Informatics, ISI 2006, San Diego, CA, USA, May 23-24, 2006, Proceedings, pp. 657-658, 2006, Springer, 3-540-34478-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Salvador Petit, Noel Tomás, Julio Sahuquillo, Ana Pont |
An execution-driven simulation tool for teaching cache memories in introductory computer organization courses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCAE ![In: Proceedings of the 2006 Workshop on Computer Architecture Education, WCAE 2006, Boston, Massachusetts, USA, Saturday, June 17, 2006, pp. 4, 2006, ACM, 978-1-4503-4735-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Lisa R. Hsu, Ravishankar R. Iyer 0001, Srihari Makineni, Steven K. Reinhardt, Donald Newell |
Exploring the cache design space for large scale CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 33(4), pp. 24-33, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Antonio Martí Campoy, Isabelle Puaut, Angel Perles Ivars, José V. Busquets-Mataix |
Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 6-8 July 2005, Palma de Mallorca, Spain, Proceedings, pp. 49-56, 2005, IEEE Computer Society, 0-7695-2400-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Sandhya Narayan, Julee Pandya, Prasant Mohapatra, Dipak Ghosal |
Analysis of Windowing and Peering Schemes for Cache Coherency in Mobile Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETWORKING ![In: NETWORKING 2005: Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems, 4th International IFIP-TC6 Networking Conference, Waterloo, Canada, May 2-6, 2005, Proceedings, pp. 240-251, 2005, Springer, 3-540-25809-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Xiaoping Zhu, Teng-Tiow Tay |
A Compiler-Controlled Instruction Cache Architecture for an Embedded Low Power Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Fifth International Conference on Computer and Information Technology (CIT 2005), 21-23 September 2005, Shanghai, China, pp. 815-821, 2005, IEEE Computer Society, 0-7695-2432-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Hou Rui, Fuxin Zhang, Weiwu Hu |
A Memory Bandwidth Effective Cache Store Miss Policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings, pp. 750-760, 2005, Springer, 3-540-29643-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Xin Yu, Zvi M. Kedem |
A distributed adaptive cache update algorithm for the dynamic source routing protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 13-17 March 2005, Miami, FL, USA, pp. 730-739, 2005, IEEE, 0-7803-8968-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Lei Shi 0001, Bing Song, Xiaoguang Ding, Zhimin Gu, Lin Wei |
Web Prefetching Control Model Based on Prefetch-Cache Interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SKG ![In: 2005 International Conference on Semantics, Knowledge and Grid (SKG 2005), 27-29 November 2005, Beijing, China, pp. 30, 2005, IEEE Computer Society, 0-7695-2534-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Kugan Vivekanandarajah, Thambipillai Srikanthan |
Custom Instruction Filter Cache Synthesis for Low-Power Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 8-10 June 2005, Montreal, Canada, pp. 151-157, 2005, IEEE Computer Society, 0-7695-2361-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Jie Tao 0001, Wolfgang Karl |
Optimization-Oriented Visualization of Cache Access Behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (2) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part II, pp. 182-190, 2005, Springer, 3-540-26043-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Jan-Willem van de Waerdt, Stamatis Vassiliadis, Jean-Paul van Itegem, Hans Van Antwerpen |
The TM3270 Media-Processor Data Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 334-341, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Wei Zhang 0002 |
Computing Cache Vulnerability to Transient Errors and Its Implication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 3-5 October 2005, Monterey, CA, USA, pp. 427-435, 2005, IEEE Computer Society, 0-7695-2464-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Keqiu Li, Hong Shen 0001, Keishi Tajima |
Cache Design for Transcoding Proxy Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2004, Wuhan, China, October 18-20, 2004, Proceedings, pp. 187-194, 2004, Springer, 3-540-23388-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Zhiwen Xu, Xiaoxin Guo, Yunjie Pang, Zhengxuan Wang |
The Transmitted Strategy of Proxy Cache Based on Segmented Video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2004, Wuhan, China, October 18-20, 2004, Proceedings, pp. 502-507, 2004, Springer, 3-540-23388-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Zhiwen Xu, Xiaoxin Guo, Yunjie Pang, Zhengxuan Wang |
The Strategy of Batch Using Dynamic Cache for Streaming Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2004, Wuhan, China, October 18-20, 2004, Proceedings, pp. 508-512, 2004, Springer, 3-540-23388-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Kristof Beyls, Erik H. D'Hollander |
Platform-Independent Cache Optimization by Pinpointing Low-Locality Reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2004, 4th International Conference, Kraków, Poland, June 6-9, 2004, Proceedings, Part III, pp. 448-455, 2004, Springer, 3-540-22116-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Joshua L. Kihm, Daniel A. Connors |
Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 326-331, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Rui Min, Zhiyong Xu, Yiming Hu, Wen-Ben Jone |
Partial Tag Comparison: A New Technology for Power-Efficient Set-Associative Cache Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 183-188, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Soontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin |
Partitioned instruction cache architecture for energy efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 2(2), pp. 163-185, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Caches, energy, memory system |
35 | Sashikanth Chandrasekaran, Roger Bamford |
Shared Cache - The Future of Parallel Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 19th International Conference on Data Engineering, March 5-8, 2003, Bangalore, India, pp. 840-850, 2003, IEEE Computer Society, 0-7803-7665-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Zeshan Chishti, Michael D. Powell, T. N. Vijaykumar |
Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 55-66, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Hsung-Pin Chang, Ray-I Chang, Wei-Kuan Shih, Ruei-Chuan Chang |
Real-Time Disk Scheduling with On-Disk Cache Conscious. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: Real-Time and Embedded Computing Systems and Applications, 9th International Conference, RTCSA 2003, Tainan, Taiwan, February 18-20, 2003. Revised Papers, pp. 88-102, 2003, Springer, 3-540-21974-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Kwong Yuen Lai, Zahir Tari, Peter Bertók |
An Analytical Study of Broadcast Based Cache Invalidation in Mobile Computing Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM ![In: On The Move to Meaningful Internet Systems 2003: CoopIS, DOA, and ODBASE - OTM Confederated International Conferences, CoopIS, DOA, and ODBASE 2003, Catania, Sicily, Italy, November 3-7, 2003, pp. 554-572, 2003, Springer, 3-540-20498-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum |
A Data Cache with Dynamic Mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 16th International Workshop, LCPC 2003, College Station, TX, USA, October 2-4, 2003, Revised Papers, pp. 436-450, 2003, Springer, 3-540-21199-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Sadhna Ahuja, Tao Wu, Sudhir S. Dixit |
On the Effects of Content Compression on Web Cache Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITCC ![In: 2003 International Symposium on Information Technology (ITCC 2003), 28-30 April 2003, Las Vegas, NV, USA, pp. 461-466, 2003, IEEE Computer Society, 0-7695-1916-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Xiaotong Zhuang, Hsien-Hsin S. Lee |
A Hardware-based Cache Pollution Filtering Mechanism for Aggressive Prefetches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 32nd International Conference on Parallel Processing (ICPP 2003), 6-9 October 2003, Kaohsiung, Taiwan, pp. 286-293, 2003, IEEE Computer Society, 0-7695-2017-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Sandeep Sen, Siddhartha Chatterjee, Neeraj Dumir |
Towards a theory of cache-efficient algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 49(6), pp. 828-858, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
I/O complexity, lower bound, Hierarchical memory |
35 | Terry Lyon, Eric Delano, Cameron McNairy, Dean Mulla |
Data Cache Design Considerations for the Itanium® 2 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 356-, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
35 | Michael D. Powell, Amit Agarwal 0001, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy 0001 |
Reducing set-associative cache energy via way-prediction and selective direct-mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 54-65, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Udaykiran Vallamsetty, Prasant Mohapatra, Ravishankar K. Iyer, Krishna Kant 0001 |
Improving Cache Performance of Network Intensive Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2001 International Conference on Parallel Processing, ICPP 2002, 3-7 September 2001, Valencia, Spain, pp. 87-94, 2001, IEEE Computer Society, 0-7695-1257-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Q. G. Samdani, Mitchell A. Thornton |
Cache Resident Data Locality Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August - 1 September 2000, San Francisco, California, USA, pp. 539-546, 2000, IEEE Computer Society, 0-7695-0728-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
35 | Andrea Prati 0001 |
Exploring multimedia applications locality to improve cache performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 8th ACM International Conference on Multimedia 2000, Los Angeles, CA, USA, October 30 - November 3, 2000., pp. 509-510, 2000, ACM, 1-58113-198-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
35 | Jung-Hoon Lee, Jang-Soo Lee, Shin-Dug Kim |
A Selective Temporal and Aggressive Spatial Cache System Based on Time Interval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 287-293, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
35 | John Kalamatianos, Alireza Khalafi, David R. Kaeli, Waleed Meleis |
Analysis of Temporal-Based Program Behavior for Improved Instruction Cache Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 168-175, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
program reordering, graph pruning, graph coloring, Instruction caches, temporal locality, conflict misses |
35 | Jun Cai, Kian-Lee Tan |
Energy-efficient selective cache invalidation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Networks ![In: Wirel. Networks 5(6), pp. 489-502, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
35 | David L. Rhodes, Wayne H. Wolf |
Unbalanced Cache Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 7th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT '99), August 9-10, 1999, San Jose, CA, USA, pp. 16-23, 1999, IEEE Computer Society, 0-7695-0259-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
35 | Alvin R. Lebeck |
Cache conscious programming in undergraduate computer science. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 30th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 1999, New Orleans, Louisiana, USA, March 14-28, 1999, pp. 247-251, 1999, ACM, 1-58113-085-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
35 | Brad Calder, Chandra Krintz, Simmi John, Todd M. Austin |
Cache-Conscious Data Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 3-7, 1998., pp. 139-149, 1998, ACM Press, 1-58113-107-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
35 | Chen Ding, Steve Carr 0001, Philip H. Sweany |
Modulo Scheduling with Cache Reuse Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 1079-1083, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
35 | Todd M. Austin, Dionisios N. Pnevmatikatos, Gurindar S. Sohi |
Streamlining Data Cache Access with Fast Address Calculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 369-380, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
35 | Brad Calder, Dirk Grunwald |
Next Cache Line and Set Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 287-296, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
35 | Josep Torrellas, Andrew Tucker, Anoop Gupta |
Benefits of Cache-Affinity Scheduling in Shared-Memory Multiprocessors: A Summary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 272-274, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
UNIX System V |
35 | Philip J. Koopman Jr., Peter Lee 0001, Daniel P. Siewiorek |
Cache Behavior of Combinator Graph Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 14(2), pp. 265-297, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
self-modifying code, combinators, abstract machine, graph reduction |
35 | Christoph Scheurich, Michel Dubois 0001 |
The design of a lockup-free cache for high-performance multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, pp. 352-359, 1988, IEEE Computer Society, 0-8186-0882-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
35 | Calvin K. Tang |
Cache system design in the tightly coupled multiprocessor system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1976 National Computer Conference, 7-10 June 1976, New York, NY, USA, pp. 749-753, 1976, AFIPS Press, 978-1-4503-7917-5. The full citation details ...](Pics/full.jpeg) |
1976 |
DBLP DOI BibTeX RDF |
|
35 | Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke |
Compiler-managed partitioned data caches for low power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 237-247, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor |
35 | Ann Gordon-Ross, Susan Cotterell, Frank Vahid |
Tiny instruction caches for low power embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 2(4), pp. 449-481, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
embedded systems., fixed program, low power, instruction cache, low energy, architecture tuning, Loop cache, filter cache |
35 | Liuxi Yang, Josep Torrellas |
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 4-13, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors |
35 | David J. Lilja |
The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(6), pp. 573-584, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution |
35 | Deze Zeng, Minyi Guo, Song Guo 0001, Mianxiong Dong, Hai Jin 0001 |
The Design and Evaluation of a Selective Way Based Trace Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings, pp. 95-109, 2009, Springer, 978-3-642-03643-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
instruction fetch unit design, selective way, energy efficient, computer architecture, trace cache |
35 | Junghoon Lee, In-Hye Shin, Gyung-Leen Park, Ikchan Kim, Yoon-Jung Rhee |
Design of a Cache Management Scheme for Gateways on the Vehicular Telematics Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part I, pp. 831-840, 2009, Springer, 978-3-642-02453-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Vehicular telematics network, gateway cache, advanced route information retrieval, directional weight, angle-based scheduling |
35 | Han Wan, Xiaopeng Gao, Zhiqiang Wang |
Cache simulator based on GPU acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SimuTools ![In: Proceedings of the 2nd International Conference on Simulation Tools and Techniques for Communications, Networks and Systems, SimuTools 2009, Rome, Italy, March 2-6, 2009, pp. 63, 2009, ICST/ACM, 978-963-9799-45-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
trace-driven, multi-core, GPGPU, CUDA, cache simulator |
35 | Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan |
Online cache state dumping for processor debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 358-363, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cache compression, processor debug, silicon debug, design for debug, post-silicon validation |
35 | Jan Reineke 0001, Daniel Grund |
Relative competitive analysis of cache replacement policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 51-60, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wcet analysis, predictability, worst-case execution time, cache performance, replacement policy |
35 | Young-Duk Kim, Jin-Wook Kim, Won-Seok Kang, Dong-Ha Lee |
Route Cache Based Load Balancing Scheme for Mobile Ad-Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NBiS ![In: Network-Based Information Systems, 2nd International Conference, NBiS 2008, Turin, Italy, September 1-5, 2008. Proceedings, pp. 81-90, 2008, Springer, 978-3-540-85692-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Route Cache, Route Reply, MANET, Load Balancing |
35 | Jie Tao 0001, Marcel Kunze, Wolfgang Karl |
Evaluating the Cache Architecture of Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2008), 13-15 February 2008, Toulouse, France, pp. 12-19, 2008, IEEE Computer Society, 978-0-7695-3089-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
OpenMp application, Simulation, Multicore processor, Cache performance |
35 | Vahid Kazempour, Alexandra Fedorova, Pouya Alagheband |
Performance Implications of Cache Affinity on Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 151-161, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cache affinity, scheduling, performance evaluation, multicore processors |
35 | Mahmoud Ben Naser, Csaba Andras Moritz |
Power and performance tradeoffs with process variation resilient adaptive cache architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008, pp. 123-128, 2008, ACM, 978-1-60558-231-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
process variations, leakage power, adaptive cache |
35 | Mary Magdalene Jane F., R. Parameswaran, R. Nadarajan, Maytham Safar |
PINE-guided cache replacement policy for location-dependent data in mobile environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PETRA ![In: Proceedings of the 1st ACM International Conference on Pervasive Technologies Related to Assistive Environments, PETRA 2008, Athens, Greece, July 16-18, 2008, pp. 16, 2008, ACM, 978-1-60558-067-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
location dependent information services, mobile computing, cache replacement |
35 | Lars Arge, Michael T. Goodrich, Michael J. Nelson 0002, Nodari Sitchinava |
Fundamental parallel algorithms for private-cache chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 197-206, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel external memory, pem, private-cache cmp |
35 | Yun Liang 0001, Tulika Mitra |
Cache modeling in probabilistic execution time analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 319-324, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
probabilistic execution time analysis, cache modeling |
35 | Matteo Frigo, Volker Strumpen |
The memory behavior of cache oblivious stencil computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 39(2), pp. 93-112, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Performance analysis, Analysis of algorithms, System simulation, Cache oblivious algorithms, Stencil computations |
35 | Xin Chen 0034, Haining Wang, Shansi Ren, Xiaodong Zhang 0001 |
Maintaining Strong Cache Consistency for the Domain Name System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 19(8), pp. 1057-1071, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
middleware, cache consistency, Domain name system, lease |
35 | Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Ramesh Illikkal, Jaideep Moses, Donald Newell |
Constraint-Aware Large-Scale CMP Cache Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings, pp. 161-171, 2007, Springer, 978-3-540-77219-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Large Scale CMP, constraint-aware design, CAAM, LCMP, cache hierarchy |
35 | Bingsheng He, Yinan Li, Qiong Luo 0001, Dongqing Yang |
EaseDB: a cache-oblivious in-memory query processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, Beijing, China, June 12-14, 2007, pp. 1064-1066, 2007, ACM, 978-1-59593-686-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
in-memory query processing, cache-oblivious |
35 | Zhijun Wang 0001, Xiaopeng Fan, Jiannong Cao 0001 |
Design a Hierarchical Cache System for Effective Loss Recovery in Reliable Multicast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 7th International Symposium, APPT 2007, Guangzhou, China, November 22-23, 2007, Proceedings, pp. 423-432, 2007, Springer, 978-3-540-76836-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Reliable multicast, cooperative cache, replacement algorithm, loss recovery |
35 | Jaw-Wei Chi, Chia-Lin Yang, Yi-Jung Chen, Jian-Jia Chen |
Cache leakage control mechanism for hard real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 248-256, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
cache leakage control policy, hard real-time system |
35 | Yong Chen 0001, Surendra Byna, Xian-He Sun |
Data access history cache and associated data prefetching mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 21, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
data access performance, prefetching simulation, cache memory, data prefetching, memory performance |
35 | Leticia Pascual, Alejandro Torrentí, Julio Sahuquillo, José Flich |
Understanding cache hierarchy interactions with a program-driven simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCAE ![In: Proceedings of the 2007 Workshop on Computer Architecture Education, WCAE 2007, San Diego, California, USA, Saturday, June 9, 2007, pp. 30-35, 2007, ACM, 978-1-59593-797-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multi-level caches, cache organization, write policies |
35 | Shimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson |
Scheduling threads for constructive cache sharing on CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2007: Proceedings of the 19th Annual ACM Symposium on Parallelism in Algorithms and Architectures, San Diego, California, USA, June 9-11, 2007, pp. 105-115, 2007, ACM, 978-1-59593-667-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
constructive cache sharing, parallel depth first, thread granularity, working set profiling, chip multiprocessors, scheduling algorithms, work stealing |
35 | Michael A. Bender, Martin Farach-Colton, Jeremy T. Fineman, Yonatan R. Fogel, Bradley C. Kuszmaul, Jelani Nelson |
Cache-oblivious streaming B-trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2007: Proceedings of the 19th Annual ACM Symposium on Parallelism in Algorithms and Architectures, San Diego, California, USA, June 9-11, 2007, pp. 81-92, 2007, ACM, 978-1-59593-667-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
buffered repository tree, cache-oblivious B-tree, cascading array, deamortized, lookahead array, shuttle tree |
35 | Ari Juels, Markus Jakobsson, Tom N. Jagatic |
Cache Cookies for Browser Authentication (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
S&P ![In: 2006 IEEE Symposium on Security and Privacy (S&P 2006), 21-24 May 2006, Berkeley, California, USA, pp. 301-305, 2006, IEEE Computer Society, 0-7695-2574-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
cache cookies, privacy, personalization, malware, Web browser, phishing, pharming |
35 | Ilya Gluhovsky, Brian O'Krafka |
Comprehensive multiprocessor cache miss rate generation using multivariate models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 23(2), pp. 111-145, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
queuing models, extrapolation, isotonic regression, Additive models, cache miss rates |
35 | Sandro Bartolini, Cosimo Antonio Prete |
Optimizing instruction cache performance of embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 4(4), pp. 934-965, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Embedded systems, code generation, cache performance, conflict miss, code reordering |
Displaying result #501 - #600 of 15666 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|