The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Gernot Gebhard, Sebastian Altmeyer Optimal task placement to improve cache performance. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF task placement, predictability, cache analysis
31Olga Golubeva, Mirko Loghi, Enrico Macii, Massimo Poncino Locality-driven architectural cache sub-banking for leakage energy reduction. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF banked cache, memory hierarchy, leakage reduction, architectural optimization
31Ehsan Atoofian, Amirali Baniasadi, Kaveh Aasaraai Speculative supplier identification for reducing power of interconnects in snoopy cache coherence protocols. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, power, SMP, cache coherence protocol
31Yan Chu 0001, Jianpei Zhang Cache Management Based on an Ant Colony Model in Wireless Mobile Environments. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ant colonies I, mobile computing, mobile agent, cache
31Yue Kou, Derong Shen, Ge Yu 0001, Tiezheng Nie, Dong Li 0023 A Top-K-based cache model for deep web query. Search on Bibsonomy Infoscale The full citation details ... 2007 DBLP  DOI  BibTeX  RDF deep web, Top-K, IR, cache model, data reorganization
31Jianhua Feng, Na Ta 0001, Guoliang Li 0001, Yu Liu 0068, Dapeng Lv A framework of semantic cache for secure XML query answering: an interesting joint and novel perspective. Search on Bibsonomy Infoscale The full citation details ... 2007 DBLP  DOI  BibTeX  RDF security, XML, semantic cache
31Rezaul Alam Chowdhury, Vijaya Ramachandran The cache-oblivious gaussian elimination paradigm: theoretical framework, parallelization and experimental evaluation. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF matrix multiplication, tiling, Gaussian elimination, cache-oblivious algorithm, all-pairs shortest path
31Yukiyasu Tsunoo, Etsuko Tsujihara, Maki Shigeri, Hiroyasu Kubo, Kazuhiko Minematsu Improving cache attacks by considering cipher structure. Search on Bibsonomy Int. J. Inf. Sec. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Block cipher, Side channel attack, Timing attack, Cache attack
31Anna Satsiou, Michael Paterakis Frequency-based cache management policies for collaborative and non-collaborative topologies of segment based video caching proxies. Search on Bibsonomy Multim. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Collaborative caching, Frequency-based cache management policies, Segment-based video caching, Caching architecture
31Keqiu Li, Hong Shen 0001, Keishi Tajima, Liusheng Huang An Effective Cache Replacement Algorithm in Transcoding-Enabled Proxies. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF World Wide Web, multimedia, transcoding, proxy caching, cache replacement
31Michele Co, Dee A. B. Weikle, Kevin Skadron Evaluating trace cache energy efficiency. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fetch engine energy efficiency, Trace cache
31Mirko Loghi, Massimo Poncino, Luca Benini Cache coherence tradeoffs in shared-memory MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip, Cache coherence
31Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng Live Range Aware Cache Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Live Range, Cache, Memory Hierarchy
31Farshad Khunjush, Nikitas J. Dimopoulos Lazy direct-to-cache transfer during receive operations in a message passing environment. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network cache, MPI, latency hiding
31Yen-Jen Chang, Feipei Lai Dynamic Zero-Sensitivity Scheme for Low-Power Cache Memories. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic zero-sensitivity, Bitlines, DZS, Cache, Power reduction
31Qingbo Zhu, Yuanyuan Zhou 0001 Power-Aware Storage Cache Management. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF storage cache replacement, Power management, disk storage, write policies
31Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Software Trace Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch
31Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum, Rajesh K. Gupta 0001 Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cache-line size adaptivity, parameterized loop nests, interference, spatial locality
31Lei Shi 0001, Zhimin Gu, Lin Wei, Yun Shi Quantitative Analysis of Zipf's Law on Web Cache. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Zipf’s law, Top-N, Web Cache, Web Prefetching
31H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan On the Correctness of Program Execution When Cache Coherence Is Maintained Locally at Data-Sharing Boundaries in Distributed Shared Memory Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmer-centric framework, release consistency memory model, performance evaluation, cache coherence, Distributed shared-memory multiprocessor
31Xavier Vera, Nerina Bermudo, Josep Llosa, Antonio González 0001 A fast and accurate framework to analyze and optimize cache memory behavior. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, sampling, Cache memories
31G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 On the effectiveness of prefetching and reuse in reducing L1 data cache traffic: a case study of Snort. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache traffic, intrusion detection, pattern matching, network processor, instruction reuse
31Anna Satsiou, Michael Paterakis Impact of Frequency-Based Cache Management Policies on the Performance of Segment Based Video Caching Proxies. Search on Bibsonomy NETWORKING The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Video Proxy Caching, Segment-Based Video Caching, Frequency Based Cache Management Policies, Multimedia Streaming
31Sarut Vanichpun, Armand M. Makowski The output of a cache under the independent reference model: where did the locality of reference go? Search on Bibsonomy SIGMETRICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF output of a cache, popularity, majorization, locality of reference
31Amit Agarwal 0001, Kaushik Roy 0001 A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF diode, low leakage cache, SRAM, gate leakage
31Jun Yang 0002, Youtao Zhang Lightweight set buffer: low power data cache for multimedia application. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multimedia, low power, cache
31Pedro José Marrón, Georg Lausen Efficient Cache Answerability for XPath Queries. Search on Bibsonomy EEXTT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache answerability, XML, XPath, query rewritability, Semistructured data, LDAP
31Baowen Xu, Weifeng Zhang 0001, Hongji Yang Mining Users' Two-Dimension Interests from Cache. Search on Bibsonomy ISMSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Data Mining, Internet, WWW, Cache, Interest Model
31Emmanuel Cecchet Whoops! : A Clustered Web Cache for DSM Systems using Memory Mapped Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory mapped network, TCP handoff, on the fly compression, cluster, web cache, DSM, SCI
31Ekow J. Otoo, Frank Olken, Arie Shoshani Disk cache replacement algorithm for storage resource managers in data grids. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache replacement algorithm, trace-driven simulation, data staging, file caching, storage resource management
31Yih-Chun Hu, David B. Johnson 0001 Ensuring cache freshness in on-demand ad hoc network routing protocols. Search on Bibsonomy POMC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bounded latency, epoch numbers, route cache, ad hoc networks, theory, DSR, Dynamic Source Routing
31Nathan T. Slingerland, Alan Jay Smith Cache performance for multimedia applications. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CPU caches, mulitmedia, simulation, cache, trace driven simulation
31Guang R. Gao, Vivek Sarkar Location Consistency-A New Memory Model and Cache Consistency Protocol. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF location consistency, cache consistency protocols, Memory consistency
31Yong Yan 0003, Xiaodong Zhang 0001, Zhao Zhang 0010 Cacheminer: A Runtime Approach to Exploit Cache Locality on SMP. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF symmetric multiprocessors (SMP) and task scheduling, simulation, runtime systems, nested loops, Cache locality
31Lynn Choi, Pen-Chung Yew Hardware and Compiler-Directed Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, compiler, Computer architecture, shared-memory multiprocessors, cache coherence, memory systems
31Hock-Beng Lim, Pen-Chung Yew Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design
31Wee Teck Ng, Peter M. Chen The Systematic Improvement of Fault Tolerance in the Rio File Cache. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF write-back file cache, reliable memory, software fault injection
31Joo-Yong Kim, Kyoungwoon Cho, Kern Koh A Proxy Server Structure and its Cache Consistency Mechanism at the Network Bottleneck. Search on Bibsonomy COMPSAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF network bottleneck, response time, polling, Proxy server, Proxy cache, invalidation
31Hoichi Cheong, Alexander V. Veidenbaum A version control approach to Cache coherence. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF parallel task execution, software-directed cache coherence, version control
31Ke Meng, Russ Joseph Process variation aware cache leakage management. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gated-VDD, selective cache ways, low power, process variation, leakage, cache management
31Paul Morgan, Richard Taylor, Japheth Hossell, George Bruce, Barry O'Rourke Automated data cache placement for embedded VLIW ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache, ASIP, cache optimization, embedded applications
31Jiaxin J. Gao, Dallan Quass, Yiu-Kai Ng Selective-Splitting and Cache-Maintenance Algorithms for Associative-Client Caches. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2004 DBLP  DOI  BibTeX  RDF selective splitting, associative-client cache, cache maintenance/behaviors, invalid access prevention
31Afzal Malik, Bill Moyer, Roger Zhou Embedded cache architecture with programmable write buffer support for power and performance flexibility. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer
31Hsing Mei, Chun-Y Lu, Chi-Chen Lai An Automatic Cache Cooperative Environment Using ICP. Search on Bibsonomy ITCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Internet Cache Protocol, Cooperative Cache Environment, Querying Filter Mechanism
31Stéphan Jourdan, Lihu Rappoport, Yoav Almog, Mattan Erez, Adi Yoaz, Ronny Ronen eXtended Block Cache. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fetch bandwidth, instruction cache, trace cache, Front-end
31Avi Mendelson, Neeraj Suri Cache based fault recovery for distributed systems. Search on Bibsonomy ICECCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache based fault recovery, roll-forward fault recovery, split-cache approach, discrete stable storage, explicit synchronization, distributed systems, checkpointing, synchronisation
31Sheng-Kai Hung, Yarsun Hsu Striping Cache: A Global Cache for Striped Network File System. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Zhiyong Xu, Yiming Hu, Laxmi N. Bhuyan Exploiting Client Cache: A Scalable and Efficient Approach to Build Large Web Cache. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Wei Zhang 0002, Sudhanva Gurumurthi, Mahmut T. Kandemir, Anand Sivasubramaniam ICR: In-Cache Replication for Enhancing Data Cache Reliability. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Mohan G. Kabadi, Natarajan Kannan, Palanidaran Chidambaram, Suriya Narayanan, M. Subramanian, Ranjani Parthasarathi Dead-Block Elimination in Cache: A Mechanism to Reduce I-cache Power Consumption in High Performance Microprocessors. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Yul Chu, Mabo Robert Ito A 2-Way Thrashing-Avoidance Cache (TAC): An Efficient Instruction Cache Scheme for Object-Oriented Languages. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Izuchukwu Nwachukwu, Krishna M. Kavi, Fawibe Ademola, Chris Yan Evaluation of Techniques to Improve Cache Access Uniformities. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Cache Indexing, Non-Uniformity of Cache Accesses, Cache Memories, Performance Improvement
31Young Jin Park, Hong Jun Choi, Cheol Hong Kim, Jong-Myon Kim Energy-aware Filter Cache Architecture for Multicore Processors. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy consumption, multicore processor, instruction cache, victim cache, filter cache
31Siddhartha V. Tambat, Sriram Vajapeyam Page-Level Behavior of Cache Contention. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Memory AccessCharacterization, Cache Tags, Cache Contention, Data Cache
31Tien-Fu Chen Efficient trace-sampling simulation techniques for cache performance analysis. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF efficient trace sampling simulation techniques, cache performance analysis, large cache simulation, space sampling technique, index of locality, trace references, time sampling approach, inter loop intervals, time sampling technique, representative performance results, loop execution, simulation time, small estimate errors, performance evaluation, virtual machines, digital simulation, performance metric, cache storage, stratified sampling, loop iterations, trace reduction
31William Y. Chen, Pohua P. Chang, Thomas M. Conte, Wen-mei W. Hwu The Effect of Code Expanding Optimizations on Instruction Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF code expanding optimizations, instruction placement, function inline expansion, superscalar optimizations, small caches, medium caches, load forwarding, large caches, C compiler, code expansion, optimisation, cache memory, memory architecture, buffer storage, instruction cache, code optimization, cache design, miss ratio
31Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement
31Li Fan, Pei Cao, Jussara M. Almeida, Andrei Z. Broder Summary cache: a scalable wide-area web cache sharing protocol. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache sharing, World Wide Web (WWW), bloom filter, Web cache, Web proxy, ICP
31Hamza Ben Ammar On models for performance evaluation and cache resources placement in multi-cache networks. (Sur des modèles pour l'évaluation de performance et le placement des ressources de cache dans les réseaux multi-cache). Search on Bibsonomy 2019   RDF
27Sangmin Seo, Jaejin Lee, Zehra Sura Design and implementation of software-managed caches for multicores with local memory. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yangyang Pan, Tong Zhang 0002 Improving VLIW Processor Performance Using Three-Dimensional (3D) DRAM Stacking. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Mahmoud A. Bennaser, Yao Guo 0001, Csaba Andras Moritz Data Memory Subsystem Resilient to Process Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Temporal instruction fetch streaming. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27José Baiocchi, Bruce R. Childers, Jack W. Davidson, Jason Hiser Reducing pressure in bounded DBT code caches. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF footprint reduction, system-on-chip, code generation, dynamic binary translation
27Jing Zhao 0001, Ping Zhang, Guohong Cao On Cooperative Caching in Wireless P2P Networks. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Qingsong Wei, Bharadwaj Veeravalli, Lingfang Zeng DWC2: A dynamic weight-based cooperative caching scheme for object-based storage cluster. Search on Bibsonomy CLUSTER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chi-Yin Chow, Hong Va Leong, Alvin T. S. Chan GroCoca: group-based peer-to-peer cooperative caching in mobile environment. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Xiaotong Zhuang, Santosh Pande Power-efficient prefetching for embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit-differential addressing, offset assignment, embedded processors, Data prefetching
27Konrad Malkowski, Greg M. Link, Padma Raghavan, Mary Jane Irwin Load Miss Prediction - Exploiting Power Performance Trade-offs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Mrinmoy Ghosh, Hsien-Hsin S. Lee Virtual Exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kyle J. Nesbit, James Laudon, James E. Smith 0001 Virtual private caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, chip multiprocessor, soft real-time, shared caches, performance isolation
27Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann Memory performance prediction for high-performance microprocessors at deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27George A. Mihaila, Ioana Stanoi A Tree for All Seasons. Search on Bibsonomy IDEAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Soontae Kim Area-efficient error protection for caches. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Derek Bruening, Vladimir Kiriansky, Timothy Garnett, Sanjeev Banerji Thread-Shared Software Code Caches. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Shoaib Kamil 0001, Kaushik Datta, Samuel Williams 0001, Leonid Oliker, John Shalf, Katherine A. Yelick Implicit and explicit optimizations for stencil computations. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jie Tao 0001, Siegfried Schloissnig, Wolfgang Karl Analysis of the Spatial and Temporal Locality in Data Accesses. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Kristof Beyls, Erik H. D'Hollander Intermediately executed code is the key to find refactorings that improve temporal data locality. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance debugger, temporal data locality, program analysis, refactoring, program optimizations, loop transformations
27Lei Li, Chunlei Niu, Haoran Zheng, Jun Wei 0001 An Adaptive Caching Mechanism for Web Services. Search on Bibsonomy QSIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Aamer Jaleel, Bruce L. Jacob Using Virtual Load/Store Queues (VLSQs) to Reduce the Negative Effects of Reordered Memory Instructions. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Effective Instruction Prefetching in Chip Multiprocessors for Modern Commercial Applications. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ekow J. Otoo, Doron Rotem, Alexandru Romosan, Sridhar Seshadri File Caching in Data Intensive Scientific Applications on Data-Grids. Search on Bibsonomy DMG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Xiaotong Zhuang, Santosh Pande Power-efficient prefetching via bit-differential offset assignment on embedded processors. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bit-differential addressing, offset assignment, embedded processors, data prefetching
27Chuanjun Zhang, Jun Yang 0002, Frank Vahid Low Static-Power Frequent-Value Data Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Vlad-Mihai Panait, Amit Sasturkar, Weng-Fai Wong Static Identification of Delinquent Loads. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Chi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos Accurate and Complexity-Effective Spatial Pattern Prediction. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yutao Zhong 0001, Steve Dropsho, Chen Ding 0001 Miss Rate Prediction across All Program Inputs. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Yingwu Zhu, Yiming Hu Disk Built-in Caches: Evaluation on System Performance. Search on Bibsonomy MASCOTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Ravishankar K. Iyer Performance implications of chipset caches in web servers. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Gokhan Memik, Glenn Reinman, William H. Mangione-Smith Reducing energy and delay using efficient victim caches. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF miss detection, network processors, victim caches
27Umut A. Acar, Guy E. Blelloch, Robert D. Blumofe The Data Locality of Work Stealing. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27S. H. Tadas, Chaitali Chakrabarti Architectural approaches to reduce leakage energy in caches. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Chad Huneycutt, Joshua B. Fryman, Kenneth M. Mackenzie Software Caching using Dynamic Binary Rewriting for Embedded Devices. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Ratan K. Guha, James Zijun Wang Improving Web Access Efficiency Using P2P Proxies. Search on Bibsonomy IWDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Peter Petrov, Alex Orailoglu Performance and power effectiveness in embedded processors customizable partitioned caches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27János Csirik, Csanád Imreh, John Noga, Steven S. Seiden, Gerhard J. Woeginger Buying a Constant Competitive Ratio for Paging. Search on Bibsonomy ESA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Wei-Kuo Liao, Chung-Ta King Proxy Prefetch and Prefix Caching. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Data prefetching by dependence graph precomputation. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos, George I. Stamoulis Architectural and compiler techniques for energy reduction in high-performance microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Xiao-Yu Hu, Pablo Rodriguez 0001, Ernst W. Biersack Performance Study of Satellite-Linked Web Caches and Filtering Policies. Search on Bibsonomy NETWORKING The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Satellite Distribution, Filtering Policies, World-Wide Web, Web Caching
Displaying result #801 - #900 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license