The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Qian Xu, Md Tanvir Arafin, Gang Qu 0001 Security of Neural Networks from Hardware Perspective: A Survey and Beyond. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Muhammad Hassan 0002, Daniel Große, Rolf Drechsler System-Level Verification of Linear and Non-Linear Behaviors of RF Amplifiers using Metamorphic Relations. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alberto Parravicini, Francesco Sgherzi, Marco D. Santambrogio A reduced-precision streaming SpMV architecture for Personalized PageRank on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Korkut Kaan Tokgoz, Ludovico Minati, Hiroyuki Ito Current-Starved Chaotic Oscillator Over Multiple Frequency Decades on Low-Cost CMOS: Towards Distributed and Scalable Environmental Sensing with a Myriad of Nodes. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Breytner Joseph Fernández-Mesa, Liliana Andrade, Frédéric Pétrot Simulation of Ideally Switched Circuits in SystemC. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jianqi Chen, Benjamin Carrión Schäfer Area Efficient Functional Locking through Coarse Grained Runtime Reconfigurable Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yibo Lin Deep Learning for Mask Synthesis and Verification: A Survey. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Song Zhang, Jiangyuan Gu, Shouyi Yin, Leibo Liu, Shaojun Wei A Multiple-Precision Multiply and Accumulation Design with Multiply-Add Merged Strategy for AI Accelerating. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Md Toufiq Hasan Anik, Bijan Fadaeinia, Amir Moradi 0001, Naghmeh Karimi On the Impact of Aging on Power Analysis Attacks Targeting Power-Equalized Cryptographic Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sahand Salamat, Sumiran Shubhi, Behnam Khaleghi, Tajana Rosing Residue-Net: Multiplication-free Neural Network by In-situ No-loss Migration to Residue Number Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuxuan Huang, Yifan He, Jinshan Yue, Huazhong Yang, Yongpan Liu A Non-Volatile Computing-In-Memory Framework With Margin Enhancement Based CSA and Offset Reduction Based ADC. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hideto Kayashima, Hideharu Amano TCI Tester: Tester for Through Chip Interface. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hai-Dang Vu, Sébastien Le Nours, Sébastien Pillement, Ralf Stemmer, Kim Grüttner A Fast Yet Accurate Message-level Communication Bus Model for Timing Prediction of SDFGs on MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Meng-Che Wu, Ai Quoc Dao, Mark Po-Hung Lin A Novel Technology Mapper for Complex Universal Gates. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Joshua Alvin, Jian Pang, Atsushi Shirane, Kenichi Okada A High Accuracy Phase and Amplitude Detection Circuit for Calibration of 28GHz Phased Array Beamformer System. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kota Shiba, Tatsuo Omori, Mototsugu Hamada, Tadahiro Kuroda A 3D-Stacked SRAM Using Inductive Coupling Technology for AI Inference Accelerator in 40-nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Li 0159, Yuxiao Qu, Gengjie Chen, Yuzhe Ma, Bei Yu 0001 TreeNet: Deep Point Cloud Embedding for Routing Tree Construction. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jun-Yang Lei, Abhijit Chatterjee Automatic Surrogate Model Generation and Debugging of Analog/Mixed-Signal Designs Via Collaborative Stimulus Generation and Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tony Givargis Gravity: An Artificial Neural Network Compiler for Embedded Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Uday Mallappa, Chung-Kuan Cheng GRA-LPO: Graph Convolution Based Leakage Power Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ibrahim Abdo, Takuya Fujimura, Tsuyoshi Miura, Korkut Kaan Tokgoz, Atsushi Shirane, Kenichi Okada A 0.41W 34Gb/s 300GHz CMOS Wireless Transceiver. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lukas Burgholzer, Richard Kueng, Robert Wille Random Stimuli Generation for the Verification of Quantum Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohanad Odema, Nafiul Rashid, Mohammad Abdullah Al Faruque Energy-Aware Design Methodology for Myocardial Infarction Detection on Low-Power Wearable Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Zeng 0015, Azadeh Davoodi, Rasit Onur Topaloglu ObfusX: Routing Obfuscation with Explanatory Analysis of a Machine Learning Attack. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Norman Chang, Deqi Zhu, Lang Lin, Dinesh Selvakumaran, Jimin Wen, Stephen H. Pan, Wenbo Xia, Hua Chen, Calvin Chow, Gary Chen ML-augmented Methodology for Fast Thermal Side-channel Emission Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Junhao Pan, Deming Chen Accelerate Non-unit Stride Convolutions with Winograd Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lukas Jünger 0001, Carmine Bianco, Kristof Niederholtmeyer, Dietmar Petras, Rainer Leupers Optimizing Temporal Decoupling using Event Relevance. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hao Lv, Bing Li, Ying Wang 0001, Cheng Liu 0008, Lei Zhang 0008 VADER: Leveraging the Natural Variation of Hardware to Enhance Adversarial Attack. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Li-Cheng Zheng, Hao-Ju Chang, Yung-Chih Chen, Jing-Yang Jou 1st-Order to 2nd-Order Threshold Logic Gate Transformation with an Enhanced ILP-based Identification Method. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaoming Chen 0003, Yuping Wu, Yinhe Han 0001 FePIM: Contention-Free In-Memory Computing Based on Ferroelectric Field-Effect Transistors. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Eleonora Testa, Siang-Yun Lee, Heinz Riener, Giovanni De Micheli Algebraic and Boolean Optimization Methods for AQFP Superconducting Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Haoxing Ren, Matthew Fojtik Standard Cell Routing with Reinforcement Learning and Genetic Algorithm in Advanced Technology Nodes. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bernardo Neuhaus Lignati, Michael Guilherme Jordan, Guilherme Korol, Mateus Beck Rutzig, Antonio Carlos Schneider Beck Exploiting HLS-Generated Multi-Version Kernels to Improve CPU-FPGA Cloud Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Elham Shamsa, Anil Kanduri, Amir M. Rahmani, Pasi Liljeberg Energy-Performance Co-Management of Mixed-Sensitivity Workloads on Heterogeneous Multi-core Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chaoqun Shen, Congcong Chen, Jiliang Zhang 0002 Micro-architectural Cache Side-Channel Attacks and Countermeasures. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lamija Hasanagic, Tin Vidovic, Saad Mubeen, Mohammad Ashjaei, Matthias Becker 0004 Optimizing Inter-Core Data-Propagation Delays in Industrial Embedded Systems under Partitioned Scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuhang Zhang, Hai Helen Li, Ulf Schlichtmann Connection-based Processing-In-Memory Engine Design Based on Resistive Crossbars. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Georgios Zervakis 0001, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, Jörg Henkel Approximate Computing for ML: State-of-the-art, Challenges and Visions. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nai-Ren Shih, Tsung-Yi Ho A Multi-Commodity Network Flow Based Routing Algorithm for Paper-Based Digital Microfluidic Biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jun-Shen Wu, Chi-En Wang, Ren-Shuo Liu Value-Aware Error Detection and Correction for SRAM Buffers in Low-Bitwidth, Floating-Point CNN Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Meng Li 0004, Yilei Li, Vikas Chandra Improving Efficiency in Neural Network Accelerator using Operands Hamming Distance Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Navid Khoshavi, Saman Sargolzaei, Yu Bi, Arman Roohi Entropy-Based Modeling for Estimating Adversarial Bit-flip Attack Impact on Binarized Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yun-Chen Lo, Bing Li 0005, Sooyong Park, Kwanwoo Shin, Tsung-Yi Ho Interference-Free Design Methodology for Paper-Based Digital Microfluidic Biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Di Wu 0016, Ruokai Yin, Joshua San Miguel Normalized Stability: A Cross-Level Design Metric for Early Termination in Stochastic Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhidan Zheng, Mengchu Li, Tsun-Ming Tseng, Ulf Schlichtmann Light: A Scalable and Efficient Wavelength-Routed Optical Networks-On-Chip Topology. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jiangli Huang, Fan Yang 0001, Changhao Yan, Dian Zhou, Xuan Zeng 0001 A Robust Batch Bayesian Optimization for Analog Circuit Synthesis via Local Penalization. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dingcheng Yang, Wenjian Yu, Haoyuan Mu, Gary Yao Dynamic Programming Assisted Quantization Approaches for Compressing Normal and Robust DNN Models. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tianhao Shen, Di Gao, Li Zhang 0021, Jishen Zhao, Cheng Zhuo A Physical-Aware Framework for Memory Network Design Space Exploration. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuncheng Zhang, Bangan Liu, Xiaofan Gu, Chun Wang, Atsushi Shirane, Kenichi Okada A DSM-based Polar Transmitter with 23.8% System Efficiency. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alexander Montgomerie-Corcoran, Christos-Savvas Bouganis DEF: Differential Encoding of Featuremaps for Low Power Convolutional Neural Network Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kuan-Ming Lai, Tsung-Wei Huang, Pei-Yu Lee, Tsung-Yi Ho ATM: A High Accuracy Extracted Timing Model for Hierarchical Timing Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chrysostomos Chatzigeorgiou, Dimitrios Garyfallou, George Floros 0002, Nestor E. Evmorfopoulos, George I. Stamoulis Exploiting Extended Krylov Subspace for the Reduction of Regular and Singular Circuit Models. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Xiaobo Sharon Hu, Mohsen Imani, Ann Franchesca Laguna, Michael T. Niemier, Simon Thomann, Xunzhao Yin, Cheng Zhuo Cross-layer Design for Computing-in-Memory: From Devices, Circuits, to Architectures and Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Robert Guirado, Hyoukjun Kwon, Sergi Abadal, Eduard Alarcón, Tushar Krishna Dataflow-Architecture Co-Design for 2.5D DNN Accelerators using Wireless Network-on-Package. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mingfei Yu, Ruitao Gao, Masahiro Fujita A Decomposition-Based Synthesis Algorithm for Sparse Matrix-Vector Multiplication in Parallel Communication Structure. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo Zhou, Chuanming Ding, Yina Lv, Chun Jason Xue, Qingfeng Zhuge, Edwin H.-M. Sha, Liang Shi SAC: A Stream Aware Write Cache Scheme for Multi-Streamed Solid State Drives. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kit Seng Tam, Chia-Chun Lin, Yung-Chih Chen, Chun-Yao Wang An Efficient Approximate Node Merging with an Error Rate Guarantee. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tonmoy Dhar, Jitesh Poojary, Yaguang Li, Kishor Kunal, Meghna Madhusudan, Arvind K. Sharma, Susmita Dey Manasi, Jiang Hu, Ramesh Harjani, Sachin S. Sapatnekar Fast and Efficient Constraint Evaluation of Analog Layout Using Machine Learning Models. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu Ma, Pingqiang Zhou Efficient Techniques for Training the Memristor-based Spiking Neural Networks Targeting Better Speed, Energy and Lifetime. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vidya A. Chhabria, Vipul Ahuja, Ashwath Prabhu, Nikhil Patil, Palkesh Jain, Sachin S. Sapatnekar Thermal and IR Drop Analysis Using Convolutional Encoder-Decoder Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Weiwen Jiang, Jinjun Xiong, Yiyu Shi 0001 When Machine Learning Meets Quantum Computers: A Case Study. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1TaiYu Cheng, Yukata Masuda, Jun Nagayama, Yoichi Momiyama, Jun Chen, Masanori Hashimoto Mode-wise Voltage-scalable Design with Activation-aware Slack Assignment for Energy Minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qin Li 0016, Peiyan Dong, Zijie Yu, Changlu Liu, Fei Qiao, Yanzhi Wang, Huazhong Yang Puncturing the memory wall: Joint optimization of network compression with approximate memory for ASR application. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peter Toth, Hiroki Ishikuro An up to 35 dBc/Hz Phase Noise Improving Design Methodology for Differential-Ring-Oscillators Applied in Ultra-Low Power Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Saru Vig, Siew-Kei Lam, Rohan Juneja Cache-Aware Dynamic Skewed Tree for Fast Memory Authentication. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yifan He, Jinshan Yue, Yongpan Liu, Huazhong Yang Block-Circulant Neural Network Accelerator Featuring Fine-Grained Frequency-Domain Quantization and Reconfigurable FFT Modules. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prachi Shukla, Sean S. Nemtzow, Vasilis F. Pavlidis, Emre Salman, Ayse K. Coskun Temperature-Aware Optimization of Monolithic 3D Deep Neural Network Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Omar Ragheb, Jason Helge Anderson High-Level Synthesis of Transactional Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chia-Ching Fu, Ben-Hau Chia, Chung-Wei Lin Runtime Software Selection for Adaptive Automotive Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peter Toth, Hiroki Ishikuro An 18 Bit Time-to-Digital Converter Design with Large Dynamic Range and Automated Multi-Cycle Concept. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tian Wang, Kun Cao 0001, Junlong Zhou, Gongxuan Zhang, Xiji Wang Power-Efficient Layer Mapping for CNNs on Integrated CPU and GPU Platforms: A Case Study. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Thanh Cong, François Charot Design Space Exploration of Heterogeneous-Accelerator SoCs with Hyperparameter Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ravikumar V. Chakaravarthy, Hyun Kwon, Hua Jiang Vision Control Unit in Fully Self Driving Vehicles using Xilinx MPSoC and Opensource Stack. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhengqi Gao, Zihao Chen, Jun Tao 0001, Yangfeng Su, Dian Zhou, Xuan Zeng 0001 Bayesian Inference on Introduced General Region: An Efficient Parametric Yield Estimation Method for Integrated Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hao Yan 0002, Xiao Shi, Chengzhen Xuan, Peng Cao 0002, Longxing Shi An Adaptive Delay Model for Timing Yield Estimation under Wide-Voltage Range. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Minxuan Zhou, Mohsen Imani, Yeseong Kim, Saransh Gupta, Tajana Rosing DP-Sim: A Full-stack Simulation Infrastructure for Digital Processing In-Memory Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhixin Pan, Prabhat Mishra 0001 Automated Test Generation for Hardware Trojan Detection using Reinforcement Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Baibhab Chatterjee, Shreyas Sen Energy-Efficient Deep Neural Networks with Mixed-Signal Neurons and Dense-Local and Sparse-Global Connectivity. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Lennart Bamberg, Geonhwa Jeong, Ruei-Ting Chien, Rainer Leupers, Alberto García-Ortiz, Tushar Krishna, Thilo Pionteck Bridging the Frequency Gap in Heterogeneous 3D SoCs through Technology-Specific NoC Router Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peng Cao 0002, Wei Bao, Kai Wang, Tai Yang A Timing Prediction Framework for Wide Voltage Design with Data Augmentation Strategy. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sina Boroumand, Christos-Savvas Bouganis, George A. Constantinides Learning Boolean Circuits from Examples for Approximate Logic Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tatsuo Omori, Kota Shiba, Mototsugu Hamada, Tadahiro Kuroda Sub-10-μm Coil Design for Multi-Hop Inductive Coupling Interface. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qi Zhu 0002, Chao Huang 0015, Ruochen Jiao, Shuyue Lan, Hengyi Liang, Xiangguo Liu, Yixuan Wang 0001, Zhilu Wang, Shichao Xu Safety-Assured Design and Adaptation of Learning-Enabled Autonomous Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marie Badaroux, Frédéric Pétrot Arbitrary and Variable Precision Floating-Point Arithmetic Support in Dynamic Binary Translation. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Deng 0001, Zheng Song 0002, Ruichang Ma, Haikun Jia, Baoyong Chi A Highly Integrated Energy-efficient CMOS Millimeter-wave Transceiver with Direct-modulation Digital Transmitter, Quadrature Phased-coupled Frequency Synthesizer and Substrate-Integrated Waveguide E-shaped Patch Antenna. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhaojun Lu, Md Tanvir Arafin, Gang Qu 0001 RIME: A Scalable and Energy-Efficient Processing-In-Memory Architecture for Floating-Point Operations. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sitao Huang, Aayush Ankit, Plínio Silveira, Rodrigo Antunes, Sai Rahul Chalamalasetti, Izzat El Hajj, Dong Eun Kim, Glaucimar Aguiar, Pedro Bruel, Sergey Serebryakov, Cong Xu, Can Li, Paolo Faraboschi, John Paul Strachan, Deming Chen, Kaushik Roy 0001, Wen-Mei W. Hwu, Dejan S. Milojicic Mixed Precision Quantization for ReRAM-based DNN Inference Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yunhui Guo, Mohsen Imani, Jaeyoung Kang 0001, Sahand Salamat, Justin Morris, Baris Aksanli, Yeseong Kim, Tajana Rosing HyperRec: Efficient Recommender Systems with Hyperdimensional Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cheng Li, Jiangyuan Gu, Shouyi Yin, Leibo Liu, Shaojun Wei Combining Memory Partitioning and Subtask Generation for Parallel Data Access on CGRAs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ashkan Vakil, Farzad Niknia, Ali Mirzaeian, Avesta Sasan, Naghmeh Karimi Learning Assisted Side Channel Delay Test for Detection of Recycled ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yen-Hao Chen, Allen C.-H. Wu, TingTing Hwang A Dynamic Link-latency Aware Cache Replacement Policy (DLRP). Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gerold Fink, Philipp Ebner, Medina Hamidovic, Werner Haselmayr, Robert Wille Accurate and Efficient Simulation of Microfluidic Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tinghuan Chen, Qi Sun 0002, Canhui Zhan, Changze Liu, Huatao Yu, Bei Yu 0001 Analog IC Aging-induced Degradation Estimation via Heterogeneous Graph Convolutional Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Satyabrata Sarangi, Bevan M. Baas Canonical Huffman Decoder on Fine-grain Many-core Processor Arrays. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo Yu 0014, Jie Tang 0003, Shaoshan Liu On Designing Computing Systems for Autonomous Vehicles: a PerceptIn Case Study. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chenguang Zhang, Pingqiang Zhou A Quantized Training Framework for Robust and Accurate ReRAM-based Neural Network Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Paulo C. Santos 0001, Bruno E. Forlin, Luigi Carro Providing Plug N' Play for Processing-in-Memory Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Grace Li Zhang, Bing Li 0005, Ying Zhu 0008, Tianchen Wang, Yiyu Shi 0001, Xunzhao Yin, Cheng Zhuo, Huaxi Gu, Tsung-Yi Ho, Ulf Schlichtmann Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Huifeng Zhu, Xiaolong Guo, Yier Jin, Xuan Zhang 0001 PCBench: Benchmarking of Board-Level Hardware Attacks and Trojans. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuxin Zhou, Huandong Wang, Dong Tong 0001 Prediction of Register Instance Usage and Time-sharing Register for Extended Register Reuse Scheme. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license