The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ATS"( http://dblp.L3S.de/Venues/ATS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ats

Publication years (Num. hits)
2006 (73) 2007 (101) 2008 (79) 2014 (60) 2015 (38) 2016 (62) 2017 (45) 2018 (37) 2019 (29) 2020 (41) 2021 (26) 2022 (29) 2023 (45)
Publication types (Num. hits)
inproceedings(652) proceedings(13)
Venues (Conferences, Journals, ...)
ATS(665)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Laura Rodríguez Gómez, Hans-Joachim Wunderlich A Neural-Network-Based Fault Classifier. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kazuki Shirahata, Takeshi Mizushima, Tasuku Fujibe, Hidenobu Matsumura, Tomoyuki Itakura, Masahiro Ishida, Daisuke Watanabe, Shin Masuda An Optical Interconnection Test Method Applicable to 100-Gb/s Transceivers Using an ATE Based Hardware. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Warin Sootkaneung, Sasithorn Chookaew, Suppachai Howimanporn Combined Impact of BTI and Temperature Effect Inversion on Circuit Performance. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amir Masoud Gharehbaghi, Masahiro Fujita A New Approach for Debugging Logic Circuits without Explicitly Debugging Their Functionality. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1M. Enamul Amyeen, Irith Pomeranz, Srikanth Venkataraman A Joint Diagnostic Test Generation Procedure with Dynamic Test Compaction. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sayandeep Mitra, Moumita Das, Ansuman Banerjee, Kausik Datta, Tsung-Yi Ho A Verification Guided Approach for Selective Program Transformations for Approximate Computing. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sujay Pandey, Sabyasachi Deyati, Adit D. Singh, Abhijit Chatterjee Noise-Resilient SRAM Physically Unclonable Function Design for Security. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Harry H. Chen, Simon Y.-H. Chen, Po-Yao Chuang, Cheng-Wen Wu Efficient Cell-Aware Fault Modeling by Switch-Level Test Generation. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Po-Fan Hou, Yi-Tsung Lin, Jiun-Lang Huang, Ann Shih, Zoe F. Conroy An IR-Drop Aware Test Pattern Generator for Scan-Based At-Speed Testing. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Matthias Kampmann, Sybille Hellebrand X Marks the Spot: Scan-Flip-Flop Clustering for Faster-than-at-Speed Test. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Riccardo Cantoro, Marco Palena, Paolo Pasini, Matteo Sonza Reorda Test Time Minimization in Reconfigurable Scan Networks. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Barry John Muldrey, Sabyasachi Deyati, Abhijit Chatterjee Concurrent Stimulus and Defect Magnitude Optimization for Detection of Weakest Shorts and Opens in Analog Circuits. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 25th IEEE Asian Test Symposium, ATS 2016, Hiroshima, Japan, November 21-24, 2016 Search on Bibsonomy ATS The full citation details ... 2016 DBLP  BibTeX  RDF
1Hao Shen, Lance Shen, Pierce Xu, Wu Yang, Junna Zhong Application of Data Mining Based Scan Diagnosis Yield Analysis in a Foundry and Fabless Working Environment. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Che-Wei Chou, Yong-Xiao Chen, Jin-Fu Li 0001 Testing Inter-Word Coupling Faults of Wide I/O DRAMs. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Srinivasa Shashank Nuthakki, Santanu Chattopadhyay An Integrated Approach for Improving Compression and Diagnostic Properties of Test Sets. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matthias Kampmann, Michael A. Kochte, Eric Schneider, Thomas Indlekofer, Sybille Hellebrand, Hans-Joachim Wunderlich Optimized Selection of Frequencies for Faster-Than-at-Speed Test. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhou Jiang, Dong Xiang, Kele Shen A Novel Scan Segmentation Design for Power Controllability and Reduction in At-Speed Test. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Guopei Liu, Ying Wang 0001, Sen Li, Huawei Li 0001, Xiaowei Li 0001 A Lightweight Timing Channel Protection for Shared Memory Controllers. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Payman Behnam, Bijan Alizadeh In-Circuit Mutation-Based Automatic Correction of Certain Design Errors Using SAT Mechanisms. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Riccardo Cantoro, Mehrdad Montazeri, Matteo Sonza Reorda, Farrokh Ghani Zadegan, Erik Larsson On the testability of IEEE 1687 networks. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masahiro Ishida, Toru Nakura, Akira Matsukawa, Rimon Ikeno, Kunihiro Asada A Technique for Analyzing On-Chip Power Supply Impedance. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Swagata Mandal, Suman Sau, Amlan Chakrabarti, Sushanta Kumar Pal, Subhasish Chattopadhyay FPGA Implementation of High Speed Latency Optimized Optical Communication System Based on Orthogonal Concatenated Code. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shyue-Kung Lu, Cheng-Ju Tsai, Masaki Hashizume Integration of Hard Repair Techniques with ECC for Enhancing Fabrication Yield and Reliability of Embedded Memories. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rajit Karmakar, Aditya Agarwal, Santanu Chattopadhyay Test Infrastructure Development and Test Scheduling of 3D-Stacked ICs under Resource and Power Constraints. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masayoshi Yoshimura, Yoshiyasu Takahashi, Hiroshi Yamazaki, Toshinori Hosokawa A Don't Care Filling Method to Reduce Capture Power Based on Correlation of FF Transitions. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sukrat Gupta, Neel Gala, G. S. Madhusudan, V. Kamakoti 0001 SHAKTI-F: A Fault Tolerant Microprocessor Architecture. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Virendra Singh, Adit D. Singh, Kewal K. Saluja A Methodology for Identifying High Timing Variability Paths in Complex Designs. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuta Kimi, Go Matsukawa, Shuhei Yoshida, Shintaro Izumi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto Analysis of Soft Error Propagation Considering Masking Effects on Re-Convergent Path. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Josef Kinseher, Leonardo Bonet Zordan, Ilia Polian On the Use of Assist Circuits for Improved Coupling Fault Detection in SRAMs. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tino Flenker, André Sülflow, Görschwin Fey Diagnostic Tests and Diagnosis for Delay Faults Using Path Segmentation. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Konstantin Shibin, Vivek Chickermane, Brion L. Keller, Christos Papameletis, Erik Jan Marinissen At-Speed Testing of Inter-Die Connections of 3D-SICs in the Presence of Shore Logic. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joyati Mondal, Debesh K. Das, Bhargab B. Bhattacharya Design-for-testability in reversible logic circuits based on bit-swapping. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sabyasachi Deyati, Barry John Muldrey, Adit D. Singh, Abhijit Chatterjee Challenge Engineering and Design of Analog Push Pull Amplifier Based Physically Unclonable Function for Hardware Security. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jun Zhou 0022, Huawei Li 0001, Tiancheng Wang, Sen Li, Ying Wang 0001, Xiaowei Li 0001 TWiN: A Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ashwin Chintaluri, Abhinav Parihar, Suriyaprakash Natarajan, Helia Naeimi, Arijit Raychowdhury A Model Study of Defects and Faults in Embedded Spin Transfer Torque (STT) MRAM Arrays. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Adithyalal P. M, Shankar Balachandran, Virendra Singh A Soft Error Resilient Low Leakage SRAM Cell Design. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kuan-Ying Chiang, Yu-Hao Ho, Yo-Wei Chen, Cheng-Sheng Pan, James Chien-Mo Li Fault Simulation and Test Pattern Generation for Cross-gate Defects in FinFET Circuits. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hejia Liu, Vishwani D. Agrawal Securing IEEE 1687-2014 Standard Instrumentation Access by LFSR Key. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xijiang Lin, Wu-Tung Cheng, Janusz Rajski On Improving Transition Test Set Quality to Detect CMOS Transistor Stuck-Open Faults. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 24th IEEE Asian Test Symposium, ATS 2015, Mumbai, India, November 22-25, 2015 Search on Bibsonomy ATS The full citation details ... 2015 DBLP  BibTeX  RDF
1Saikat Dutta 0001, Soumi Chattopadhyay, Ansuman Banerjee, Pallab Dasgupta A New Approach for Minimal Environment Construction for Modular Property Verification. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tetsuya Masuda, Jun Nishimaki, Toshinori Hosokawa, Hideo Fujiwara A Test Generation Method for Data Paths Using Easily Testable Functional Time Expansion Models and Controller Augmentation. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dooyoung Kim, Muhammad Adil Ansari, Jihun Jung, Sungju Park Scan-Puf: Puf Elements Selection Methods for Viable IC Identification. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sungyoul Seo, Yong Lee 0002, Hyeonchan Lim, Joohwan Lee, Hongbom Yoo, Yojoung Kim, Sungho Kang 0001 Scan Chain Reordering-Aware X-Filling and Stitching for Scan Shift Power Reduction. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Satyadev Ahlawat, Jaynarayan T. Tudu, Anzhela Yu. Matrosova, Virendra Singh A New Scan Flip Flop Design to Eliminate Performance Penalty of Scan. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1V. Prasanth, Rubin A. Parekhji, Bharadwaj S. Amrutur Improved Methods for Accurate Safety Analysis of Real-Life Systems. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chun-Hao Chang, Kuen-Wei Yeh, Jiun-Lang Huang, Laung-Terng Wang SDC-TPG: A Deterministic Zero-Inflation Parallel Test Pattern Generator. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Koji Asada, Xiaoqing Wen, Stefan Holst, Kohei Miyase, Seiji Kajihara, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jun Qian Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael A. Kochte, Atefe Dalirsani, Andrea Bernabei, Martin Omaña 0001, Cecilia Metra, Hans-Joachim Wunderlich Intermittent and Transient Fault Diagnosis on Sparse Code Signatures. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Grzegorz Mrugalski, Janusz Rajski, Jedrzej Solecki, Jerzy Tyszer, Chen Wang 0014 TestExpress - New Time-Effective Scan-Based Deterministic Test Paradigm. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita Detection of test Patterns with Unreachable States through Efficient Inductive-Invariant Identification. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Emil Gizdarski Two-Step Dynamic Encoding for Linear Decompressors. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kuan-Te Wu, Jin-Fu Li 0001, Yun-Chao Yu, Chih-Sheng Hou, Chi-Chun Yang, Ding-Ming Kwai, Yung-Fa Chou, Chih-Yen Lo Intra-channel Reconfigurable Interface for TSV and Micro Bump Fault Tolerance in 3-D RAMs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Artur Jutman, Matteo Sonza Reorda, Hans-Joachim Wunderlich High Quality System Level Test and Diagnosis. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Maciej Trawka, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Artur Pogiel, Janusz Rajski, Jakub Janicki, Jerzy Tyszer High-Speed Serial Embedded Deterministic Test for System-on-Chip Designs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chandan Kumar, Fadi Maamari, Kiran Vittal, Wilson Pradeep, Rajesh Tiwari, Srivaths Ravi 0001 Methodology for Early RTL Testability and Coverage Analysis and Its Application to Industrial Designs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mehdi Sadi, Zoe Conroy, Bill Eklow, Matthias Kamm, Nematollah Bidokhti, Mark Mohammad Tehranipoor An All Digital Distributed Sensor Network Based Framework for Continuous Noise Monitoring and Timing Failure Analysis in SoCs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seiji Kajihara, Yousuke Miyake, Yasuo Sato, Yukiya Miura An On-Chip Digital Environment Monitor for Field Test. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Huaguo Liang, Zhi Wang, Zhengfeng Huang, Aibin Yan Design of a Radiation Hardened Latch for Low-Power Circuits. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yun-Chao You, Chi-Chun Yang, Jin-Fu Li 0001, Chih-Yen Lo, Chao-Hsun Chen, Jenn-Shiang Lai, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu BIST-Assisted Tuning Scheme for Minimizing IO-Channel Power of TSV-Based 3D DRAMs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Harry H. Chen Perspectives on Test Data Mining from Industrial Experience. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jizhe Zhang, Sandeep Gupta 0001 SRAM Array Yield Estimation under Spatially-Correlated Process Variation. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cheng Xue, R. D. (Shawn) Blanton Predicting IC Defect Level Using Diagnosis. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Taewoo Han, Inhyuk Choi, Hyunggoy Oh, Sungho Kang 0001 A Scalable and Parallel Test Access Strategy for NoC-Based Multicore System. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Da Cheng, Fangzhou Wang, Feng Gao, Sandeep K. Gupta 0001 Optimal Redundancy Designs for CNFET-Based Circuits. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Byeongju Cha, Sandeep K. Gupta 0001 A Resizing Method to Minimize Effects of Hardware Trojans. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fan Yang 0060, Sreejit Chakravarty, Arun Gunda, Nicole Wu, Jianyu Ning Silicon Evaluation of Cell-Aware ATPG Tests and Small Delay Tests. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Masanori Hashimoto Opportunities and Verification Challenges of Run-Time Performance Adaptation. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kelson Gent, Michael S. Hsiao Dual-Purpose Mixed-Level Test Generation Using Swarm Intelligence. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shao-Feng Hung, Long-Yi Lin, Hao-Chiao Hong A Cost-Effective Stimulus Generator for Battery Channel Characterization in Electric Vehicles. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jose Moreira, Hubert Werkmann, Masahiro Ishida, Bernhard Roth, Volker Filsinger, Sui-Xia Yang An ATE Based 32 Gbaud PAM-4 At-Speed Characterization and Testing Solution. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bappaditya Mondal, Dipak Kumar Kole, Debesh Kumar Das, Hafizur Rahaman 0001 Generator for Test Set Construction of SMGF in Reversible Circuit by Boolean Difference Method. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1John A. Porche, R. D. (Shawn) Blanton Physically-Aware Diagnostic Resolution. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fan Lin, Chun-Kai Hsu, Kwang-Ting Cheng Learning from Production Test Data: Correlation Exploration and Feature Engineering. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yong-Xiao Chen, Jin-Fu Li 0001 Testing of Non-volatile Logic-Based System Chips. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaobing Shi, Nicola Nicolici On Supporting Sequential Constraints for On-Chip Generation of Post-silicon Validation Stimuli. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Katherine Shu-Min Li, Sying-Jyan Wang, Jia-Lin Wu, Cheng-You Ho, Yingchieh Ho, Ruei-Ting Gu, Bo-Chuan Cheng Optimized Pre-bond Test Methodology for Silicon Interposer Testing. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi, Shida Zhong, Sheng Yang 0003 High Quality Testing of Grid Style Power Gating. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhenzhou Sun, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel, Etienne Auvray On the Generation of Diagnostic Test Set for Intra-cell Defects. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Li Ling, Jianhui Jiang Exploit Dynamic Voltage and Frequency Scaling for SoC Test Scheduling under Thermal Constraints. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Bi, Pierre-Emmanuel Gaillardon, Xiaobo Sharon Hu, Michael T. Niemier, Jiann-Shiun Yuan, Yier Jin Leveraging Emerging Technology for Hardware Security - Case Study on Silicon Nanowire FETs and Graphene SymFETs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cheng-Hung Wu, Kuen-Jong Lee An Efficient Diagnosis Pattern Generation Procedure to Distinguish Stuck-at Faults and Bridging Faults. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jie Zou, Chao Han, Adit D. Singh Timing Evaluation Tests for Scan Enable Signals with Application to TDF Testing. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kun-Han Tsai Testability-Driven Fault Sampling for Deterministic Test Coverage Estimation of Large Designs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 23rd IEEE Asian Test Symposium, ATS 2014, Hangzhou, China, November 16-19, 2014 Search on Bibsonomy ATS The full citation details ... 2014 DBLP  BibTeX  RDF
1Dominik Erb, Karsten Scheibler, Matthias Sauer 0002, Sudhakar M. Reddy, Bernd Becker 0001 Circuit Parameter Independent Test Pattern Generation for Interconnect Open Defects. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Farrokh Ghani Zadegan, Erik Larsson, Artur Jutman, Sergei Devadze, Rene Krenz-Baath Design, Verification, and Application of IEEE 1687. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kele Shen, Dong Xiang, Zhou Jiang Dual-Speed TAM Optimization of 3D SoCs for Mid-bond and Post-bond Testing. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Guillaume Renaud, Manuel J. Barragán, Salvador Mir, Marc Sabut On-Chip Implementation of an Integrator-Based Servo-Loop for ADC Static Linearity Test. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zipeng Li, Trung Anh Dinh, Tsung-Yi Ho, Krishnendu Chakrabarty Reliability-Driven Pipelined Scan-Like Testing of Digital Microfluidic Biochips. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yousuke Miyake, Yasuo Sato, Seiji Kajihara, Yukiya Miura Temperature and Voltage Estimation Using Ring-Oscillator-Based Monitor for Field Test. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anshuman Chandra, Subramanian Chebiyam, Rohit Kapur A Case Study on Implementing Compressed DFT Architecture. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shyue-Kung Lu, Hao-Cheng Jheng, Hao-Wei Lin, Masaki Hashizume, Seiji Kajihara Built-In Scrambling Analysis for Yield Enhancement of Embedded Memories. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chung-Yun Wang, Yu-Yi Chen, Jiun-Lang Huang, Xuan-Lun Huang FPGA-Based Subset Sum Delay Lines. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sabyasachi Deyati, Barry John Muldrey, Adit D. Singh, Abhijit Chatterjee High Resolution Pulse Propagation Driven Trojan Detection in Digital Logic: Optimization Algorithms and Infrastructure. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yukio Mitsuyama, Hidetoshi Onodera Variability and Soft-Error Resilience in Dependable VLSI Platform. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Che-Wei Kao, Katherine Shu-Min Li Improving Output Compaction Efficiency with High Observability Scan Chains. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yun Cheng, Huawei Li 0001, Xiaowei Li 0001 An On-Line Timing Error Detection Method for Silicon Debug. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yussuf Ali, Yuta Yamato, Tomokazu Yoneda, Kazumi Hatayama, Michiko Inoue Parallel Path Delay Fault Simulation for Multi/Many-Core Processors with SIMD Units. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license