The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Aibin Yan, Zijie Zhai, Lele Wang 0011, Jixiang Zhang 0007, Ningning Cui, Tianming Ni, Xiaoqing Wen Parallel DICE Cells and Dual-Level CEs based 3-Node-Upset Tolerant Latch Design for Highly Robust Computing. Search on Bibsonomy ITC-Asia The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Aoran Cao, Zhengzheng Fan, Zhelong Xu, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen A 4NU-Recoverable and HIS-Insensitive Latch Design for Highly Robust Computing in Harsh Radiation Environments. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Neha Gupta, Nikhil Agrawal, Narendra Singh Dhakad, Ambika Prasad Shah, Santosh Kumar Vishvakarma, Patrick Girard 0001 Voltage Bootstrapped Schmitt Trigger based Radiation Hardened Latch Design for Reliable Circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Peiyi Zhao, Zisong Wang, Congyi Zhu, Tom Springer, Jacob Anabi, Yinshui Xia, Lingli Wang Ultra-low-voltage Low-power Self-adaptive Static Pulsed Latch. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Songyan Wang, Xiaomei Fan, Zhihua Zhu, Yingtao Zhang, Ruike Chen, Juin Jei Liou A LVTSCR-Based Compact Structure for Latch-up Immune. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Sandeep Kumar, Atin Mukherjee 0001 A Self-Healing, High Performance and Low-Cost Radiation Hardened Latch Design. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Zhenxing Chang, Aijiao Cui, Ziming Wang, Gang Qu 0001 Novel Memristor-based Nonvolatile D Latch and Flip-flop Designs. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Gaeryun Sung, Jaeduk Han High-speed StrongARM-latch-based Bang-bang Phase Detector in 40-nm CMOS Technology. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Jérôme Folla Kamdem, Wembe Tafo Evariste, Essimbi Zobo Bernard, Maria Liz Crespo, Andres Cicuttin A Compact 20GHz Dynamic Latch Comparator in 65nm CMOS Process. Search on Bibsonomy SSD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Mahesh Kumawat, Abhishek Kumar Upadhyay, Sanjay Sharma, Ravi Kumar 0006, Gaurav Singh, Santosh Kumar Vishvakarma An improved current mode logic latch for high-speed applications. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Xiangfeng Feng, Yuanjie Hu, Chaoping Lai, Jie Cui 0004, Zhili Chen, Kohei Miyase, Xiaoqing Wen Design of a Triple-Node-Upset Self-Recoverable Latch for Aerospace Applications in Harsh Radiation Environments. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhelong Xu, Kang Yang, Jie Cui 0004, Zhengfeng Huang, Patrick Girard 0001, Xiaoqing Wen A Novel Low-Cost TMR-Without-Voter Based HIS-Insensitive and MNU-Tolerant Latch Design for Aerospace Applications. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Kuan-Chieh Chao, Jung-Chin Lai, Terng-Yin Hsu All-Digital Mismatched Calibrator and Compensator for SR Latch-Based Variable-Gain Time Amplifier. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Qiang Li, Xiaohui Su, Jing Guo 0004, Chunhua Qi Self-Recovery Tolerance Latch Design Based on the Radiation Mechanism. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Nan Zhang, Xiaohui Su, Jing Guo 0004 Design of Robust Latch for Multiple-Node Upset (MNU) Mitigation in Nanoscale CMOS Technology. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Suraj Pattanaik A novel approach to design a digital clock triggered modified pulse latch for 16-bit shift register. Search on Bibsonomy Int. J. Intell. Def. Support Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Adam Watkins, Spyros Tragoudas Radiation Hardened Latch Designs for Double and Triple Node Upsets. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Yuanjie Hu, Jie Cui 0004, Zhili Chen, Zhengfeng Huang, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen Information Assurance Through Redundant Design: A Novel TNU Error-Resilient Latch for Harsh Radiation Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mahesh Kumawat, Mohit Singh Choudhary, Ravi Kumar 0006, Gaurav Singh, Santosh Kumar Vishvakarma A Novel CML Latch-Based Wave-Pipelined Asynchronous SerDes Transceiver for Low-Power Application. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Bing Li, Shuai Chen, Kai Wang An improved PAAs countermeasure based on permutation tables and latch PUF. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Sanjay Vidhyadharan, Surya Shankar Dan, Ramakant Yadav, Simhadri Hariprasad An innovative ultra-low voltage GOTFET based regenerative-latch Schmitt trigger. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yong Ma, Yongjian Yu, Jian Lu, Qiaoyun Zou, Huibin Zhang Effect of manufacturing technics on the microstructure and temperature-affected electrical performance of D-type latch devices. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Joseph Sweeney, Mohammed Zackriya V, Samuel Pagliarini, Lawrence T. Pileggi Latch-Based Logic Locking. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Yuta Yamamoto, Kazuteru Namba Complete Double Node Upset Tolerant Latch Using C-Element. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yibo Jiang, Hui Bi 0003, Wei Zhao, Chen Shi, Xiaolei Wang Latch-Up Immune Bi-Direction ESD Protection Clamp for Push-Pull RF Power Amplifier. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yan Li 0084, Xu Cheng 0002, Chiyu Tan, Jun Han 0003, Yuanfu Zhao, Liang Wang 0024, Tongde Li, Mehdi B. Tahoori, Xiaoyang Zeng A Robust Hardened Latch Featuring Tolerance to Double-Node-Upset in 28nm CMOS for Spaceborne Application. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Yafei Ling, Jie Cui 0004, Zhili Chen, Zhengfeng Huang, Jie Song, Patrick Girard 0001, Xiaoqing Wen Quadruple Cross-Coupled Dual-Interlocked-Storage-Cells-Based Multiple-Node-Upset-Tolerant Latch Designs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ki-Chan Woo, Hyeong-Ju Kang, Byung-Do Yang Low-Area and Low-Power Latch-Based Thermometer-Code Shift-Register. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Siddharth R. K., Y. Jaya Satyanarayana, Nithin Y. B. Kumar, M. H. Vasantha, Edoardo Bonizzoni A 1-V, 3-GHz Strong-Arm Latch Voltage Comparator for High Speed Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Jing Guo 0004, Shanshan Liu 0001, Lei Zhu 0004, Fabrizio Lombardi Design and Evaluation of Low-Complexity Radiation Hardened CMOS Latch for Double-Node Upset Tolerance. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Saki Tajima, Masao Yanagisawa, Youhua Shi Transition Detector-Based Radiation-Hardened Latch for Both Single- and Multiple-Node Upsets. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Xinchao Shang, Minyi Lu, Chengjun Wu, Yiming Xiang, Jiaming Xu, Weiwei Shan A Wide-Voltage-Range Transition-Detector With In-Situ Timing-Error Detection and Correction Based on Pulsed-Latch Design in 28 nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Joonyeong Kim, Byung-Kil Han, Dongbum Pyo, Semin Ryu, Hanbyeol Kim, Dong-Soo Kwon Braille Display for Portable Device Using Flip-Latch Structured Electromagnetic Actuator. Search on Bibsonomy IEEE Trans. Haptics The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Huimei Cheng, Xi Li, Yichen Gu, Peter A. Beerel Saving Power by Converting Flip-Flop to 3-Phase Latch-Based Designs. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Zeeshan Ali, Makwana Harshit R, Shalabh Gupta A Low Jitter Double-Tailed Strong-Arm Latch Based Digital-to-Time Converter (DTC). Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Zhiyang Qu, Lubin Hang, Huiyu Deng, Xiaobo Huang, Mingyuan Wang 0002, Xiaojie Huang, Zhe Liu, Yong Chen, Yong Hua, Jinliang Du Control System of Single-drive Double-branch Electric Vehicle Door Latch. Search on Bibsonomy RICAI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Borui Wu, Lubin Hang, Xiaojie Huang, Sulong Li, Xiaobo Huang, Mingyuan Wang 0002, Chuanlei Zhong, Zhiyang Qu Double Loops Mechanism with Multi-Mode Motion Applied in The Vehicle Door Latch. Search on Bibsonomy RICAI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Chuanlei Zhong, Lubin Hang, Mingyuan Wang 0002, Sulong Li, Xiaobo Huang, Xiaojie Huang, Zhe Liu, Yong Chen Research on A Spatial Compliant Mechanism for the Power Release Branch in Vehicle Side Door Latch. Search on Bibsonomy RICAI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Abdulhadi Shoufan SR Latch: The Wrong Introduction to Digital Memory. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Joseph Sweeney, V. Mohammed Zackriya, Samuel Pagliarini, Lawrence T. Pileggi Latch-Based Logic Locking. Search on Bibsonomy HOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ziwei Li, Wenbin He, Fan Ye 0001, Junyan Ren A Low-Power Low-Noise Dynamic Comparator With Latch-Embedding Floating Amplifier. Search on Bibsonomy APCCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Xiangfeng Feng, Xiaohu Zhao, Hang Zhou, Jie Cui 0004, Zuobin Ying, Patrick Girard 0001, Xiaoqing Wen HITTSFL: Design of a Cost-Effective HIS-Insensitive TNU-Tolerant and SET-Filterable Latch for Safety-Critical Applications. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Chau-Chin Huang, Gustavo E. Téllez, Gi-Joon Nam, Yao-Wen Chang Latch Clustering for Timing-Power Co-Optimization. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Hanwool Jeong, Juhyun Park, Seung Chul Song, Seong-Ook Jung Self-Timed Pulsed Latch for Low-Voltage Operation With Reduced Hold Time. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Chaudhry Indra Kumar, Anand Bulusu High performance energy efficient radiation hardened latch for low voltage applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Deok Gyoon Chung, Joonhwan Kim, Donghoon Baek, Joonyeong Kim, Dong-Soo Kwon Shape-Locking Mechanism of Flexible Joint Using Mechanical Latch With Electromagnetic Force. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Huimei Cheng, Hsiao-Lun Wang, Minghe Zhang, Dylan Hand, Peter A. Beerel Automatic Retiming of Two-Phase Latch-Based Resilient Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Xixi Dai, Haibin Wang, Jiamin Chu, Zhi Liu 0004, Li Cai, Kang Yan A Single Event Upset Resilient Latch Design with Single Node Upset Immunity. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Chaudhry Indra Kumar, Arvind Kumar Sharma, Rajendra Partap, Anand Bulusu An energy-efficient variation aware self-correcting latch. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Cody Mitchell, Mitchell R. Hunt, Fat D. Ho Retention characteristics of a nonvolatile latch utilizing a ferroelectric transistor. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jinwook Jung, Gi-Joon Nam, Woohyun Chung, Youngsoo Shin Integrated Latch Placement and Cloning for Timing Optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Huimei Cheng, Yichen Gu, Peter A. Beerel Automatic Conversion from Flip-flop to 3-phase Latch-based Designs. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Mir Muntasir Hossain, Satyendra N. Biswas Analysis and Design of a 32nm FinFET Dynamic Latch Comparator. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Alak Majumder, Monalisa Das, Suraj Kumar Saw, Abir J. Mondal, Bidyut K. Bhattacharyya Variation Aware Design of 50-Gbit/s, 5.027-fJ/bit Serializer Using Latency Combined Mux-Dual Latch for Inter-Chip Communication. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Dhruv Patel 0002, Adam Neale, Derek Wright, Manoj Sachdev Hybrid Latch-Type Offset Tolerant Sense Amplifier for Low-Voltage SRAMs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Kang Yang, Zhengfeng Huang, Jiliang Zhang 0002, Jie Cui 0004, Xiangsheng Fang, Maoxiang Yi, Xiaoqing Wen A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jianwei Jiang 0001, Yiran Xu, Wenyi Zhu, Jun Xiao, Shichang Zou Quadruple Cross-Coupled Latch-Based 10T and 12T SRAM Bit-Cell Designs for Highly Reliable Terrestrial Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Changyong Liu, Nianlong Liu, Zhiting Lin, Xiulong Wu, Chunyu Peng, Qiang Zhao 0007, Xuan Li, Junning Chen, Xuan Zeng 0001, Xiangdong Hu A single event upset tolerant latch with parallel nodes. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Chun-Cheng Chen, Ming-Dou Ker Investigation on Latch-Up Path Between I/O PMOS and Core PMOS in a 0.18-μm CMOS Process. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Balaji Narasimham, K. Chandrasekharan, J. K. Wang, Bharat L. Bhuva Soft Error Performance of High-Speed Pulsed-DICE-Latch Design in 16 nm and 7 nm FinFET Processes. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Yuanjie Hu, Jie Song, Xiaoqing Wen Single-Event Double-Upset Self-Recoverable and Single-Event Transient Pulse Filterable Latch Design for Low Power Applications. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Daniel Townley, Khaled N. Khasawneh, Dmitry Ponomarev 0001, Nael B. Abu-Ghazaleh, Lei Yu 0001 LATCH: A Locality-Aware Taint CHecker. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Ruijun Ma, Stefan Holst, Xiaoqing Wen, Aibin Yan, Hui Xu STAHL: A Novel Scan-Test-Aware Hardened Latch Design. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Naoki Fujieda, Hitomi Kishibe, Shuichi Ichikawa A light-weight implementation of latch-based true random number generator. Search on Bibsonomy IWCMC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhen Wu, Lu Lu, Zhili Chen, Jie Song, Zuobin Ying, Patrick Girard 0001, Xiaoqing Wen Novel Radiation Hardened Latch Design with Cost-Effectiveness for Safety-Critical Terrestrial Applications. Search on Bibsonomy ATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Fabián Leonardo Cabrera, Fernando Rangel de Sousa, Héctor Pettenghi Introducing Asymmetry in a CMOS Latch to Obtain Inherent Power-On-Reset Behavior. Search on Bibsonomy LASCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Ambika Prasad Shah, Michael Waltl Low Cost and High Performance Radiation Hardened Latch Design for Reliable Circuits. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Zhaochen Yin, Walter Audoglio, Marco Grassi, Piero Malcovati, Edoardo Bonizzoni Performance Comparison of a Strong-Arm Latch in Different Ultra-Scaled Technologies. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Athanasios T. Ramkaj, Michiel S. J. Steyaert, Filip Tavernier A 13.5-Gb/s 5-mV-Sensitivity 26.8-ps-CLK-OUT Delay Triple-Latch Feedforward Dynamic Comparator in 28-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Emanuele Abbatessa, Davide Dente, Sergio Saponara Integrated Simulation Environment for Co-design/Verification of Mechanic, Electronic and Control of Automotive E-Drives: The Smart-Latch Case Study. Search on Bibsonomy ApplePies The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Sulong Li, Lubin Hang, Mingyuan Wang 0002, Lele Bai, Xiaobo Huang, Huiyu Deng, Zhe Liu, Yong Chen, Yong Hua, Jinliang Du Research on Four-bar Linkage with Variable Axis Torsion Spring for Vehicle Latch Lock/Unlock. Search on Bibsonomy RICAI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Xu Su, Lubin Hang, Hui Guo, Mingyuan Wang 0002, Zhe Liu, Yong Chen, Yong Hua, Jinliang Du Simulation Research of Gear Recovery Noise in Electric Opening Branch with the Vehicle Door Latch. Search on Bibsonomy RICAI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Vikram B. Suresh, Sudhir Satpathy, Raghavan Kumar, Mark A. Anders 0001, Himanshu Kaul, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001, Vivek De, Sanu Mathew A 250Mv, 0.063J/Ghash Bitcoin Mining Engine in 14nm CMOS Featuring Dual-Vcc Sha256 Datapath and 3-Phase Latch Based Clocking. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Troy Bryant, Taewook Kim, Nima Maghari A Self-Reset Transconductance Integrating Leakage Latch (STILL) for Ultra-Low Power Sensor Interfacing. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Zhiyuan Song, Aibin Yan, Jie Cui 0004, Zhili Chen, Xuejun Li, Xiaoqing Wen, Chaoping Lai, Zhengfeng Huang, Huaguo Liang A Novel Triple-Node-Upset-Tolerant CMOS Latch Design using Single-Node-Upset-Resilient Cells. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Pingshun Ma, Yongzhen Chen, Jiangfeng Wu A Double-Latch Comparator for Multi-GS/s SAR ADCs in 28nm CMOS. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Muneeb Sulthan, Shubhajit Roy Chowdury, Rajnish Garg, Alok Tripathi Design of a Power Efficient Pulse Latch Circuit as a Solution for Master Slave Flip-Flop. Search on Bibsonomy VDAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Wei Wang 0177, Yan Zhu 0001, Chi-Hang Chan, Rui Paulo Martins A 5.35-mW 10-MHz Single-Opamp Third-Order CT ΔΣ Modulator With CTC Amplifier and Adaptive Latch DAC Driver in 65-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Harijot Singh Bindra, Chris E. Lokin, Daniël Schinkel, Anne-Johan Annema, Bram Nauta A 1.2-V Dynamic Bias Latch-Type Comparator in 65-nm CMOS With 0.4-mV Input Noise. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Amir Ardakani, Shahriar B. Shokouhi, Arash Reyhani-Masoleh Improving performance of FPGA-based SR-latch PUF using Transient Effect Ring Oscillator and programmable delay lines. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Mitesh Limachia, Dixit Vyas, Rajesh Amratlal Thakker, Nikhil Kothari Hybrid offset compensated latch-type sense amplifier for tri-gated FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Saki Tajima, Nozomu Togawa, Masao Yanagisawa, Youhua Shi A Low Power Soft Error Hardened Latch with Schmitt-Trigger-Based C-Element. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Leïla Khanfir, Jaouhar Mouine Design optimisation procedure for digital mismatch compensation in latch comparators. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ramin Razmdideh, Mohsen Saneei Low-power, latch-based multistage time-to-digital converter in 65 nm CMOS technology. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Aravinda Koithyar, T. K. Ramesh 0001 A faster phase frequency detector using transmission gate-based latch for the reduced response time of the PLL. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Nima Shahpari, Mehdi Habibi A rail-to-rail low-power latch comparator with time domain bulk-tuned offset cancellation for low-voltage applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Vijay Savani, N. M. Devashrayee Design and analysis of low-power high-speed shared charge reset technique based dynamic latch comparator. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Joy Arulraj, Justin J. Levandoski, Umar Farooq Minhas, Per-Åke Larson BzTree: A High-Performance Latch-free Range Index for Non-Volatile Memory. (PDF / PS) Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Haibin Wang, Xixi Dai, Yangsheng Wang, Issam Nofal, Li Cai, Zicai Shen, Wanxiu Sun, Jinshun Bi, Bo Li 0051, Gang Guo, Li Chen 0001, Sang H. Baeg A single event upset tolerant latch design. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Oh-Yong Jung, Hyun-Gi Seok, Anjana Dissanayake, Sang-Gug Lee 0001 A 45-µW, 162.1-dBc/Hz FoM, 490-MHz Two-Stage Differential Ring VCO Without a Cross-Coupled Latch. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Dianpeng Lin, Yiran Xu, Xiaoyun Li, Xin Xie, Jianwei Jiang 0001, Jiangchuan Ren, Huilong Zhu, Zhengxuan Zhang, Shichang Zou A novel self-recoverable and triple nodes upset resilience DICE latch. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yuanqing Li, Anselm Breitenreiter, Marko S. Andjelkovic, Oliver Schrape, Milos Krstic Flip-Flop SEUs Mitigation through Partial Hardening of Internal Latch and Adjustment of Clock Duty Cycle. Search on Bibsonomy DDECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Mohammed Al-Qadasi, Abdullah Alshehri 0003, Abdullah S. Almansouri, Talal Al-Attar, Hossein Fariborzi A High Speed Dynamic StrongARM Latch Comparator. Search on Bibsonomy MWSCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Karim Ali 0004, Fei Li 0015, Sunny Y. H. Lua, Chun-Huat Heng Area and Energy Efficient Diode Based Spin Orbit Torque Non-Volatile Latch Design. Search on Bibsonomy MWSCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Mahabub Hasan Mahalat, Nikhil Ugale, Rohit Shahare, Bibhash Sen Design of Latch based Configurable Ring Oscillator PUF Targeting Secure FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Milova Paul, Boeila Sampath Kumar, Harald Gossner, Mayank Shrivastava Contact and junction engineering in bulk FinFET technology for improved ESD/latch-up performance with design trade-offs and its implications on hot carrier reliability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Krzysztof Domanski Latch-up in FinFET technologies. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Kyung-Il Do, Byung-Seok Lee, Hee-Guk Chae, Jeong-Ju Seo, Yong-Seo Koo A New Low Trigger SCR with Latch up Immunity for 5V Application. Search on Bibsonomy EECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang, Yangyang Zhang, Zian Su, Huaguo Liang, Huijie Yao, Tianming Ni A Hybrid DMR Latch to Tolerate MNU Using TDICE and WDICE. Search on Bibsonomy ATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Kenneth Lamar, Ramin Izadpanah, Jim M. Brandt, Damian Dechev An Efficient Latch-free Database Index Based on Multi-dimensional Lists. Search on Bibsonomy IPCCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license