The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Zheng-Wei Wu, Yun-Chih Chen, Yuan-Hao Chang 0001, Tei-Wei Kuo APP: Enabling Soft Real-Time Execution on Densely-Populated Hybrid Memory System. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1JaeHwa Jeon, Jae-Youn Hong, Sunghoon Kim, Insu Choi, Joon-Sung Yang PIE-DRAM: Postponing IECC to Enhance DRAM performance with access table. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhijie Cai, Peng Zou, Zhengtao Wu, Xingyu Tong, Jun Yu 0010, Jianli Chen, Yao-Wen Chang PUFFER: A Routability-Driven Placement Framework via Cell Padding with Multiple Features and Strategy Exploration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Min Li 0019, Zhengyuan Shi, Qiuxia Lai, Sadaf Khan, Shaowei Cai 0001, Qiang Xu 0001 On EDA-Driven Learning for SAT Solving. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jin-Sung Kim, Alex McCaskey, Bettina Heim, Manish Modani, Sam Stanwyck, Timothy B. Costa CUDA Quantum: The Platform for Integrated Quantum-Classical Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yun-Chen Lo, Ren-Shuo Liu Morphable CIM: Improving Operation Intensity and Depthwise Capability for SRAM-CIM Architecture. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Abhishek Balasubramaniam, Febin Sunny, Sudeep Pasricha R-TOSS: A Framework for Real-Time Object Detection using Semi-Structured Pruning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Simon Toni Hofmann, Marcel Walter, Lorenzo Servadei, Robert Wille Late Breaking Results From Hybrid Design Automation for Field-coupled Nanotechnologies. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Deepika Sharma, Adarsh Kumar Kosta, Kaushik Roy 0001 Lightning Talk: A Perspective on Neuromorphic Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pierpaolo Morì, Shambhavi Balamuthu Sampath, Lukas Frickenstein, Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Walter Stechele, Claudio Passerone WinoTrain: Winograd-Aware Training for Accurate Full 8-bit Convolution Acceleration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xuanle Ren, Zhaohui Chen, Zhen Gu, Yanheng Lu, Ruiguang Zhong, Wen-Jie Lu, Jiansong Zhang, Yichi Zhang, Hanghang Wu, Xiaofu Zheng, Heng Liu, Tingqiang Chu, Cheng Hong, Changzheng Wei, Dimin Niu, Yuan Xie 0001 CHAM: A Customized Homomorphic Encryption Accelerator for Fast Matrix-Vector Product. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guohao Chen, Zheng Zeng, Benchao Zhu, Jiawei Li, Kun Wang 0005, Jun Yu 0010, Jianli Chen Mixed-cell-height Placement with Minimum-Implant-Area and Drain-to-Drain Abutment Constraints. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vijay Janapa Reddi, Amir Yazdanbakhsh Architecture 2.0: Challenges and Opportunities. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pruek Vanna-Iampikul, Lingjun Zhu, Serhat Erdogan, Mohanalingam Kathaperumal, Ravi Agarwal, Ram Gupta, Kevin Rinebold, Sung Kyu Lim Glass Interposer Integration of Logic and Memory Chiplets: PPA and Power/Signal Integrity Benefits. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei Ren, William Kozlowski, Sandhya Koteshwara, Mengmei Ye, Hubertus Franke, Deming Chen AccShield: a New Trusted Execution Environment with Machine-Learning Accelerators. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jia Chen, Fengbin Tu, Kunming Shao, Fengshi Tian, Xiao Huo, Chi-Ying Tsui, Kwang-Ting Cheng AutoDCIM: An Automated Digital CIM Compiler. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jinwei Liu, Evangeline F. Y. Young EDGE: Efficient DAG-based Global Routing Engine. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yan Ding 0004, Chubo Liu, Mingxing Duan, Wanli Chang 0001, Keqin Li 0001, Kenli Li 0001 HAIMA: A Hybrid SRAM and DRAM Accelerator-in-Memory Architecture for Transformer. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chengning Wang, Dan Feng 0001, Wei Tong 0001, Jingning Liu CorcPUM: Efficient Processing Using Cross-Point Memory via Cooperative Row-Column Access Pipelining and Adaptive Timing Optimization in Subarrays. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qinghai Liu, Qinfei Tang, Jiarui Chen, Chuandong Chen, Ziran Zhu, Huan He, Jianli Chen, Yao-Wen Chang Disjoint-Path and Golden-Pin Based Irregular PCB Routing with Complex Constraints. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jin Zhao 0003, Yu Zhang 0027, Jian Cheng, Yiyang Wu, Chuyue Ye, Hui Yu, Zhiying Huang, Hai Jin 0001, Xiaofei Liao, Lin Gu 0002, Haikun Liu SaGraph: A Similarity-aware Hardware Accelerator for Temporal Graph Processing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xizi Chen, Rui Pan, Xiaomeng Wang, Fengshi Tian, Chi-Ying Tsui Late Breaking Results: Weight Decay is ALL You Need for Neural Network Sparsification. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nils Quetschlich, Lukas Burgholzer, Robert Wille Compiler Optimization for Quantum Computing Using Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liyan Shen, Ye Dong, Binxing Fang, Jinqiao Shi, Xuebin Wang, Shengli Pan 0003, Ruisheng Shi ABNN2: secure two-party arbitrary-bitwidth quantized neural network predictions. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tom Peham, Lukas Burgholzer, Robert Wille Equivalence checking paradigms in quantum circuit design: a case study. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sven Thijssen, Sumit Kumar Jha 0001, Rickard Ewetz PATH: evaluation of boolean logic using path-based in-memory computing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shengwen Liang, Ying Wang 0001, Ziming Yuan, Cheng Liu 0008, Huawei Li 0001, Xiaowei Li 0001 VStore: in-storage graph based vector search accelerator. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Debjit Pal, Yi-Hsiang Lai, Shaojie Xiang, Niansong Zhang, Hongzheng Chen, Jeremy Casas, Pasquale Cocchini, Zhenkun Yang, Jin Yang 0006, Louis-Noël Pouchet, Zhiru Zhang Accelerator design with decoupled hardware customizations: benefits and challenges: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiwon Kim, Seunghyeok Jeon, Jaehyun Kim, Hojung Cha Voltage prediction of drone battery reflecting internal temperature. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xingchen Li, Zhihang Yuan, Guangyu Sun 0003, Liang Zhao, Zhichao Lu Tailor: removing redundant operations in memristive analog neural network accelerators. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mengyuan Li, Ann Franchesca Laguna, Dayane Reis, Xunzhao Yin, Michael T. Niemier, X. Sharon Hu iMARS: an in-memory-computing architecture for recommendation systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jinxi Kuang, Minghua Shen, Yutong Lu, Nong Xiao Exploiting data locality in memory for ORAM to reduce memory access overheads. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Junge Xu, Bohan Xuan, Anlin Liu, Mo Sun, Fan Zhang 0010, Zeke Wang, Kui Ren 0001 Terminator on SkyNet: a practical DVFS attack on DNN hardware IP for UAV object detection. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziqi Meng, Yanan Sun 0003, Weikang Qian Write or not: programming scheme optimization for RRAM-based neuromorphic computing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Subhash Sethumurugan, Shashank Hegde, Hari Cherupalli, John Sartori A scalable symbolic simulation tool for low power embedded systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lucas Klemmer, Daniel Große Waveform-based performance analysis of RISC-V processors: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiliang Zhang 0002, Lin Ding, Zhuojun Chen, Wenshang Li, Gang Qu 0001 DA PUF: dual-state analog PUF. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ran Wei, Zhe Jiang 0004, Xiaoran Guo, Haitao Mei, Athanasios Zolotas, Tim Kelly Designing critical systems with iterative automated safety analysis. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ananda Samajdar, Eric Qin 0001, Michael Pellauer, Tushar Krishna Self adaptive reconfigurable arrays (SARA): learning flexible GEMM accelerator configuration and mapping-space using ML. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weihong Xu, Jaeyoung Kang 0001, Tajana Rosing A near-storage framework for boosted data preprocessing of mass spectrum clustering. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fangxin Liu, Wenbo Zhao 0005, Yongbiao Chen, Zongwu Wang, Zhezhi He, Rui Yang, Qidong Tang, Tao Yang, Cheng Zhuo, Li Jiang 0002 PIM-DH: ReRAM-based processing-in-memory architecture for deep hashing acceleration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Valentin Poirot, Oliver Harms, Hendric Martens, Olaf Landsiedel BlueSeer: AI-driven environment detection via BLE scans. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Md Rafid Muttaki, Zahin Ibnat, Farimah Farahmandi Secure by construction: addressing security vulnerabilities introduced during high-level synthesis: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weizhe Hua, Muhammad Umar 0002, Zhiru Zhang, G. Edward Suh GuardNN: secure accelerator architecture for privacy-preserving deep learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhiheng Yue, Yabing Wang, Leibo Liu, Shaojun Wei, Shouyi Yin MC-CIM: a reconfigurable computation-in-memory for efficient stereo matching cost computation. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Amir Hossein Jalilvand, Seyedeh Newsha Estiri, Samaneh Naderi, M. Hassan Najafi, Mohsen Imani A fast and low-cost comparison-free sorting engine with unary computing: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuqiao Zhang, Chunli Tang, Peng Li, Ujjwal Guin CamSkyGate: camouflaged skyrmion gates for protecting ICs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohit Upadhyay, Rohan Juneja, Bo Wang 0020, Jun Zhou 0014, Weng-Fai Wong, Li-Shiuan Peh REACT: a heterogeneous reconfigurable neural network accelerator with software-configurable NoCs for training and inference on wearables. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bonan Zhang, Peter Deaville, Naveen Verma Statistical computing framework and demonstration for in-memory computing systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Miaodi Su, Yifeng Xiao, Shu Zhang, Haiyuan Su, Jiacen Xu, Huan He, Ziran Zhu, Jianli Chen, Yao-Wen Chang Subgraph matching based reference placement for PCB designs: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziru Li, Qilin Zheng, Bonan Yan, Ru Huang, Bing Li 0005, Yiran Chen 0001 ASTERS: adaptable threshold spike-timing neuromorphic design with twin-column ReRAM synapses. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiangren Chen, Bohan Yang 0004, Yong Lu, Shouyi Yin, Shaojun Wei, Leibo Liu Efficient access scheme for multi-bank based NTT architecture through conflict graph. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hsu-Kang Dow, Tuo Li 0001, Sri Parameswaran HWST128: complete memory safety accelerator on RISC-V with metadata compression. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Robert Wille, Lukas Burgholzer, Stefan Hillmich, Thomas Grurl, Alexander Ploier, Tom Peham The basis of design tools for quantum computing: arrays, decision diagrams, tensor networks, and ZX-calculus. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu-Sheng Lu, Kuan-Cheng Chen, Yu-Ling Hsu, Yao-Wen Chang Thermal-aware optical-electrical routing codesign for on-chip signal communications. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cong Liu, Haikun Liu, Hai Jin 0001, Xiaofei Liao, Yu Zhang 0027, Zhuohui Duan, Jiahong Xu, Huize Li ReGNN: a ReRAM-based heterogeneous architecture for general graph neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Guan Shen, Jieru Zhao, Quan Chen 0002, Jingwen Leng, Chao Li 0009, Minyi Guo SALO: an efficient spatial accelerator enabling hybrid sparse attention mechanisms for long sequences. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qijing Wang, Bentian Jiang, Martin D. F. Wong, Evangeline F. Y. Young A2-ILT: GPU accelerated ILT with spatial attention mechanism. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinyi Zhang, Cong Hao, Peipei Zhou 0001, Alex K. Jones, Jingtong Hu H2H: heterogeneous model to heterogeneous system mapping with computation and communication awareness. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chunhua Li, Man Wu, Yuhan Liu, Ke Zhou 0001, Ji Zhang 0010, Yunqing Sun SS-LRU: a smart segmented LRU caching. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xing Li, Lei Chen 0031, Fan Yang, Mingxuan Yuan, Hongli Yan, Yupeng Wan HIMap: a heuristic and iterative logic synthesis approach. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fu-Chieh Chang 0001, Yu-Wei Tseng, Ya-Wen Yu, Ssu-Rui Lee, Alexandru Cioba, I-Lun Tseng, Da-Shan Shiu, Jhih-Wei Hsu, Cheng-Yuan Wang, Chien-Yi Yang, Ren-Chu Wang, Yao-Wen Chang, Tai-Chen Chen, Tung-Chieh Chen Flexible chip placement via reinforcement learning: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Guyue Huang, Haoran Li, Minghai Qin, Fei Sun, Yufei Ding, Yuan Xie 0001 Shfl-BW: accelerating deep neural network inference with tensor-core aware weight pruning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Min Li 0019, Sadaf Khan, Zhengyuan Shi, Naixing Wang, Huang Yu, Qiang Xu 0001 DeepGate: learning neural representations of logic gates. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yang Wang 0082, Xu Jiang 0004, Nan Guan, Mingsong Lv, Dong Ji, Wang Yi 0001 Scheduling and analysis of real-time tasks with parallel critical sections. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marcel Walter, Samuel Sze Hang Ng, Konrad Walus, Robert Wille Hexagons are the bestagons: design automation for silicon dangling bond logic. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mingyang Kou, Jun Zeng, Boxiao Han, Fei Xu, Jiangyuan Gu, Hailong Yao GEML: GNN-based efficient mapping method for large loop applications on CGRA. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yiming Chen, Guodong Yin, Zhanhong Tan, Mingyen Lee, Zekun Yang, Yongpan Liu, Huazhong Yang, Kaisheng Ma, Xueqing Li YOLoC: deploy large-scale neural network by ROM-based computing-in-memory using residual branch on a chip. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yibin Gu, Yifan Li, Hua Wang 0008, Li Liu 0014, Ke Zhou 0001, Wei Fang, Gang Hu, Jinhu Liu, Zhuo Cheng LPCA: learned MRC profiling based cache allocation for file storage systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Peiyan Dong, Yanyue Xie, Hongjia Li, Mengshu Sun, Olivia Chen, Nobuyuki Yoshikawa, Yanzhi Wang TAAS: a timing-aware analytical strategy for AQFP-capable placement automation. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Szu-Ru Nie, Yen-Ting Chen, Yao-Wen Chang Y-architecture-based flip-chip routing with dynamic programming-based bend minimization. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zerun Li, Xiaoming Chen 0003, Yinhe Han 0001 GraphRing: an HMC-ring based graph processing framework with optimized data movement. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongyi Lu, Fengwei Zhang Raven: a novel kernel debugging tool on RISC-V. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weihong Liu, Jiawei Geng, Zongwei Zhu, Jing Cao, Zirui Lian Sniper: cloud-edge collaborative inference scheduling with neural network similarity modeling. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhuohui Duan, Haobo Wang, Haikun Liu, Xiaofei Liao, Hai Jin 0001, Yu Zhang 0027, Fubing Mao CLIMBER: defending phase change memory against inconsistent write attacks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1He Zhang 0011, Linjun Jiang, Jianxin Wu, Tingran Chen, Junzhan Liu, Wang Kang 0001, Weisheng Zhao CP-SRAM: charge-pulsation SRAM marco for ultra-high energy-efficiency computing-in-memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nishant Gupta, Mohil Sandip Desai, Mark Wijtvliet, Shubham Rai, Akash Kumar 0001 DELTA: DEsigning a stealthy trigger mechanism for analog hardware trojans and its detection analysis. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiao Dong, Yufei Chen, Xunzhao Yin, Cheng Zhuo Worst-case dynamic power distribution network noise prediction using convolutional neural network. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yujuan Tan, Wei Chen 0101, Zhulin Ma, Dan Xiao, Zhichao Yan, Duo Liu, Xianzhang Chen SAPredictor: a simple and accurate self-adaptive predictor for hierarchical hybrid memory system. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yi Sheng, Junhuan Yang, Yawen Wu, Kevin Mao, Yiyu Shi 0001, Jingtong Hu, Weiwen Jiang, Lei Yang 0018 The larger the fairer?: small neural networks can achieve fairness for edge devices. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yintao He, Songyun Qu, Ying Wang 0001, Bing Li 0017, Huawei Li 0001, Xiaowei Li 0001 InfoX: an energy-efficient ReRAM accelerator design with information-lossless low-bit ADCs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cynthia Chen, Bruno Schmitt, Helena Zhang, Lev S. Bishop, Ali Javadi-Abhari Optimizing quantum circuit synthesis for permutations using recursion. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sizhe An, Ümit Y. Ogras Fast and scalable human pose estimation using mmWave point cloud. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Behnam Khaleghi, Uday Mallappa, Duygu Yaldiz, Haichao Yang, Monil Shah, Jaeyoung Kang 0001, Tajana Rosing PatterNet: explore and exploit filter patterns for efficient deep neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jaeyong Lee 0004, Myungsuk Kim, Wonil Choi, Sanggu Lee, Jihong Kim 0001 TailCut: improving performance and lifetime of SSDs using pattern-aware state encoding. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alireza Mahzoon, Daniel Große, Christoph Scholl 0001, Alexander Konrad, Rolf Drechsler Formal verification of modular multipliers using symbolic computer algebra and boolean satisfiability. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ismet Dagli, Alexander Cieslewicz, Jedidiah McClurg, Mehmet E. Belviranli AxoNN: energy-aware execution of neural network inference on multi-accelerator heterogeneous SoCs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Liukai Xu, Songyuan Liu, Zhi Li, Dengfeng Wang, Yiming Chen, Yanan Sun 0003, Xueqing Li, Weifeng He, Shi Xu CREAM: computing in ReRAM-assisted energy and area-efficient SRAM for neural network acceleration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fangxin Liu, Wenbo Zhao 0005, Zongwu Wang, Yongbiao Chen, Zhezhi He, Naifeng Jing, Xiaoyao Liang, Li Jiang 0002 EBSP: evolving bit sparsity patterns for hardware-friendly inference of quantized deep neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Longfei Luo, Dingcui Yu, Liang Shi, Chuanming Ding, Changlong Li, Edwin H.-M. Sha CDB: critical data backup design for consumer devices with high-density flash based hybrid storage. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu-Shun Hsiao, Siva Kumar Sastry Hari, Michal Filipiuk, Timothy Tsai 0002, Michael B. Sullivan 0001, Vijay Janapa Reddi, Vasu Singh, Stephen W. Keckler Zhuyi: perception processing rate estimation for safety in autonomous vehicles. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jaekang Shin, Seungkyu Choi, Jongwoo Ra, Lee-Sup Kim Algorithm/architecture co-design for energy-efficient acceleration of multi-task DNN. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ruihao Gao, Xueqi Li 0001, Yewen Li, Xun Wang, Guangming Tan MetaZip: a high-throughput and efficient accelerator for DEFLATE. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zheng Zhang, Tinghuan Chen, Jiaxin Huang 0010, Meng Zhang 0010 A fast parameter tuning framework via transfer learning and multi-objective bayesian optimization. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ruixuan Wang, Xun Jiao, X. Sharon Hu ODHD: one-class brain-inspired hyperdimensional computing for outlier detection. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Muhammad Rashedul Haq Rashed, Amro Awad, Sumit Kumar Jha 0001, Rickard Ewetz Towards resilient analog in-memory deep learning via data layout re-organization. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lukas Burgholzer, Robert Wille Handling non-unitaries in quantum circuit equivalence checking. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0173, Mingsong Lv, Wanli Chang 0001, Lei Ju 0001 Precise and scalable shared cache contention analysis for WCET estimation. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gobinda Saha, Cheng Wang, Anand Raghunathan, Kaushik Roy 0001 A cross-layer approach to cognitive computing: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hanrui Wang 0002, Zirui Li, Jiaqi Gu, Yongshan Ding 0001, David Z. Pan, Song Han 0003 QOC: quantum on-chip training with parameter shift and gradient pruning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 8394 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license