The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DDECS"( http://dblp.L3S.de/Venues/DDECS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ddecs

Publication years (Num. hits)
2006 (79) 2007 (80) 2008 (74) 2009 (61) 2010 (92) 2011 (92) 2012 (85) 2013 (68) 2014 (67) 2015 (60) 2016 (46) 2017 (38) 2018 (31) 2019 (36) 2020 (35) 2021 (32) 2022 (31) 2023 (36)
Publication types (Num. hits)
inproceedings(1025) proceedings(18)
Venues (Conferences, Journals, ...)
DDECS(1043)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1043 publication records. Showing 1043 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Joyati Mondal, Bappaditya Mondal, Dipak Kumar Kole, Hafizur Rahaman 0001, Debesh K. Das Boolean Difference Technique for Detecting All Missing Gate Faults in Reversible Circuits. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dusan N. Grujic, Mihajlo Bozovic, Milan Savic BSIM4 to PSP Model Conversion for Passive Mixer IM3 Simulation. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Stefan Scharoba, Heinrich Theodor Vierhaus Combining Correction of Delay Faults and Transient Faults. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1I-Che Chen, John P. Hayes Low-Area and High-Speed Approximate Matrix-Vector Multiplier. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Peter Malík High Throughput Floating-Point Dividers Implemented in FPGA. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ondrej Novák, Jiri Jenícek, Martin Rozkovec LFSR Reseeding Based Test Compression Respecting Different Controllability of Decompressor Outputs. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hong-Yi Huang, Jen-Chieh Liu, Pei-Ying Lee, Kun-Yuan Chen, Jin-Sheng Chen, Kuo-Hsing Cheng, Tzuen-Hsi Huang, Ching-Hsing Luo, Jin-Chern Chiou PVT Insensitive High-Resolution Time to Digital Converter for Intraocular Pressure Sensing. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Milan Babic, Milos Krstic A Coarse Model for Estimation of Switching Noise Coupling in Lightly Doped Substrates. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hong-Yi Huang, Gene Fe P. Palencia, Da-Kai Chen, Wei-Hsuan Huang Triangular Modulation Using Switched-Capacitor Scheme for Spread-Spectrum Clocking. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dominik Macko, Katarína Jelemenská, Pavel Cicák Power-Management Specification in SystemC. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Artjom Jasnetski, Jaan Raik, Anton Tsertov, Raimund Ubar New Fault Models and Self-Test Generation for Microprocessors Using High-Level Decision Diagrams. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Martin Krcma, Jan Kastil, Zdenek Kotásek Mapping Trained Neural Networks to FPNNs. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nebojsa Pjevalica, Milos Nikolic 0004, Ivan Kastelan Analog Circuitry for BLDC Motor Magnetic Saturation Diagnostic. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Thomas Hollstein, Siavoosh Payandeh Azad, Thilo Kogge, Haoyuan Ying, Klaus Hofmann NoCDepend: A Flexible and Scalable Dependability Technique for 3D Networks-on-Chip. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lukás Nagy, Viera Stopjaková, Alexander Satka Design of In AlN/GaN Heterostructure-Based Logic Cells. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrzej Grodzicki, Witold A. Pleskacz A Low Ripple Current Mode Voltage Doubler. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jakub Podivinsky, Marcela Simková, Ondrej Cekan, Zdenek Kotásek FPGA Prototyping and Accelerated Verification of ASIPs. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ioana Mot, Oana Boncalo, Alexandru Amaricai Performance Enhancement of Serial Based FPGA Probabilistic Fault Emulation Techniques. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hans G. Kerkhoff, Hassan Ebrahimi Intermittent Resistive Faults in Digital CMOS Circuits. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shuichi Sato, Satoshi Ohtake A Delay Measurement Mechanism for Asynchronous Circuits of Bundled-Data Model. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vasileios Gerakis, Fontounasios Christos, Alkis A. Hatzopoulos Modeling the Coupling through Substrate for Frequencies up to 100GHz. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stefan Kristofík, Marcel Baláz, Mária Fischerová Generic Self Repair Architecture with On-Line Fault Diagnosis. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mikhail Glukhikh, Mikhail J. Moiseev Fast Simulation of SystemC Synthesizable Subset. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zoran Stamenkovic, Witold A. Pleskacz, Jaan Raik, Heinrich Theodor Vierhaus (eds.) 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, Belgrade, Serbia, April 22-24, 2015 Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  BibTeX  RDF
1Yo-Hao Tu, Kuo-Hsing Cheng, Yian-An Lin, Hong-Yi Huang A Synchronous Mirror Delay with Duty-Cycle Tunable Technology. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiao Yang, Hongbo Zhu, Toru Nakura, Tetsuya Iizuka, Kunihiro Asada An Asynchronous Projection and Summation Circuit for In-Pixel Processing in Single Photon Avalanche Diode Sensors. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sneana Stefanovski, Milka M. Potrebic, Dejan Toic, Zoran Stamenkovic A Novel Compact Dual-Band Bandpass Waveguide Filter. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arman Allahyari-Abhari, Mathias Soeken, Rolf Drechsler Requirement Phrasing Assistance Using Automatic Quality Assessment. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Miroslav Siebert, Elena Gramatová Parameterized Critical Path Selection for Delay Fault Testing. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lukasz Lopacinski, Jörg Nolte, Steffen Büchner 0002, Marcin Brzozowski, Rolf Kraemer Design and Implementation of an Adaptive Algorithm for Hybrid Automatic Repeat Request. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nikolay N. Prokopenko, Nikolay V. Butyrlagin, Sergei G. Krutchinsky, Evgeniy A. Zhebrun, Alexey E. Titov Microwave Selective Amplifiers with High Asymptotic Attenuation in the Range of Subresonance Frequencies. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Niels Thole, Heinz Riener, Görschwin Fey Equivalence Checking on System Level Using a Priori Knowledge. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vladimir Petrovic, Milos Krstic Design Flow for Radhard TMR Flip-Flops. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anzhela Yu. Matrosova, Sergey Ostanin, Irina Kirienko Increasing Manufacturing Yield Using Partially Programmable Circuits with CLB Implementation of Incompletely Specified Boolean Function of the Corresponding Sub-Circuit. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nils Przigoda, Robert Wille, Rolf Drechsler Contradiction Analysis for Inconsistent Formal Models. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rados Dabic, Sasa Jednak, Ilija Adzic, Dusko Stanic, Aleksandar Mijatovic, Stanislav Vuckovic Direct Test Methodology for HDL Verification. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Muhammed Ceylan Morgül, Mustafa Altun Synthesis and Optimization of Switching Nanoarrays. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel Arbet, Gabriel Nagy, Martin Kovác, Viera Stopjaková Fully Differential Difference Amplifier for Low-Noise Applications. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tetsuya Matsumura, Aoi Kurokawa, Kousuke Imamura, Yoshio Matsuda A Design for the 178-MHz WXGA 30-fps Optical Flow Processor Based on the HOE Algorithm. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Goran Panic, Zoran Stamenkovic Activity Profiling and Power Estimation for Embedded Wireless Sensor Node Design. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Krzysztof Marcinek, Maciej Plasota, Andrzej Wielgus, Witold A. Pleskacz Implementation of the ADELITE Microcontroller for Biomedical Applications. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kishore K. Duganapalli, Ajoy Kumar Palit, Walter Anheier TPG for Crosstalk Faults between On-Chip Aggressor and Victim Using Genetic Algorithms. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maciej Moskala, Patryk Kloczko, Marek Cieplucha, Witold A. Pleskacz UVM-based Verification of Bluetooth Low Energy Controller. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Syed Saif Abrar, Maksim Jenihhin, Jaan Raik SystemC-Based Loose Models for Simulation Speed-Up by Abstraction of RTL IP Cores. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Spyridon Nikolaidis 0001 Modeling CMOS Gates Using Equivalent Inverters. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Woo-Rham Bae, Deog-Kyoon Jeong, Byoung-Joo Yoo A design of an area-efficient 10-GHz phase-locked loop for source-synchronous, multi-channel links in 90-nm CMOS technology. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Viktor Pus, Lukas Kekely, Jan Korenek Design methodology of configurable high performance packet parser for FPGA. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tetsuya Matsumura, Naoya Okada, Yoshifumi Kawamura, Koji Nii, Kazutami Arimoto, Hiroshi Makino, Yoshio Matsuda The LSI implementation of a memory based field programmable device for MCU peripherals. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Grzegorz Pastuszak FPGA architectures of the quantization and the dequantization for video encoders. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Omar Abdelmalek, David Hély, Vincent Beroulle Emulation based fault injection on UHF RFID transponder. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jing Ning, Klaus Hofmann A 120V high voltage DAC array for a tunable antenna in communication system. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Salma Hesham, Mohamed A. Abd El Ghany, Klaus Hofmann High throughput architecture for the Advanced Encryption Standard Algorithm. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Raimund Ubar, Dmitri Mironov Lower bounds of the size of Shared Structurally Synthesized BDDs. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Miroslav Valka, Alberto Bosio, Luigi Dilillo, Aida Todri, Arnaud Virazel, Patrick Girard 0001, P. Debaud, S. Guilhot Test and diagnosis of power switches. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Panagiotis Chaourani, Spyridon Nikolaidis 0001 A unified CMOS inverter model for planar and FinFET nanoscale technologies. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Piotr Maj Mismatch effects and their correction in large area ASICs. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri-Sanial, Arnaud Virazel, S. Bernabovi, Paolo Bernardi An intra-cell defect grading tool. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hafiz ul Asad, Kevin D. Jones, Frédéric Surre Verifying robust frequency domain properties of non linear oscillators using SMT. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dominik Macko, Katarína Jelemenská Self-managing power management unit. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Albert Au, Artur Pogiel, Janusz Rajski, Piotr Sydow, Jerzy Tyszer, Justyna Zawada Quality assurance in memory built-in self-test tools. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Milan Dvorak, Jan Korenek Low latency book handling in FPGA for high frequency trading. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stefano Pettazzi, Andrew Plews, Anatoly Rudenko, Ahmed Nejim Development of 3D space partitioning and design rule check for smart system solutions. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Petr Fiser, Jan Schmidt, Jiri Balcarek Sources of bias in EDA tools and its influence. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrzej Abramowski, Grzegorz Pastuszak A double-path intra prediction architecture for the hardware H.265/HEVC encoder. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrzej Wielgus Heuristic algorithm of two-level minimization of fuzzy logic functions. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anu Asokan, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Path delay test in the presence of multi-aggressor crosstalk, power supply noise and ground bounce. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lukas Miculka, Zdenek Kotásek Generic partial dynamic reconfiguration controller for transient and permanent fault mitigation in fault tolerant systems implemented into FPGA. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1A. Mehdaoui, J. Pagazani, G. Schropfer, Gaëlle Lissorgues SiP design flow and 3D DRC for MEMS. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pawel Dabal, Ryszard Pelka A study on fast pipelined pseudo-random number generator based on chaotic logistic map. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Juraj Brenkus, Viera Stopjaková, Daniel Arbet, Gábor Gyepes, Libor Majer A novel impedance calculation method and its time efficiency evaluation. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jürgen Maier 0002, Andreas Steininger Online test vector insertion: A concurrent built-in self-testing (CBIST) approach for asynchronous logic. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Parit Kanjanavirojkul, Nguyen Ngoc Mai Khanh, Toru Nakura, Kunihiro Asada Burst-pulse Generator based on transmission line toward sub-MMW. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nam-Khanh Dang, Xuan-Tu Tran, Alain Merirot An efficient hardware architecture for inter-prediction in H.264/AVC encoders. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Domenico Bertoncelli, Pasquale Caianiello Customer return detection with features selection. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tomasz Garbolino Designing of Test Pattern Generators for stimulation of crosstalk faults in bus-type connections. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Steffen Ostendorff, Jorge H. Meza Escobar, Heinz-Dietrich Wuttke, Thomas Sasse, Sebastian Richter Modeling timing constraints for automatic generation of embedded test instruments. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrzej Grodzicki, Witold A. Pleskacz Multistage low ripple charge pump. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marco Gaudesi, S. Saleem, Ernesto Sánchez 0001, Matteo Sonza Reorda, E. Tanowe On the in-field test of Branch Prediction Units using the correlated predictor mechanism. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tomás Závodník, Lukas Kekely, Viktor Pus CRC based hashing in FPGA using DSP blocks. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Krammer, Michael Karner, Anton Fuchs System design for enhanced forward-engineering possibilities of safety critical embedded systems. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Panagiotis Sismanoglou, Dimitris Nikolos Test data compression based on reuse and bit-flipping of parts of dictionary entries. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yo-Hao Tu, Kuo-Hsing Cheng, Chih-Hsun Hsu, Hong-Yi Huang A low supply voltage synchronous mirror delay with quadrature phase output. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vlastimil Kosar, Jan Korenek On NFA-split architecture optimizations. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2014, Warsaw, Poland, 23-25 April, 2014 Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  BibTeX  RDF
1Ronaldo Rodrigues Ferreira, Thomas Klotz, Thilo Vörtler, Jean da Rolt, Gabriel L. Nazar, Álvaro Freitas Moreira, Luigi Carro, Karsten Einwich Reliable execution of statechart-generated correct embedded software under soft errors. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pavel Fiala, Richard Linhart Efficient VHDL implementation of symbol synchronization for software radio based on FPGA. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mikolaj Roszkowski, Grzegorz Pastuszak FPGA design of the computation unit for the semi-global stereo matching algorithm. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lufei Shen, Ferdinand Keil, Klaus Hofmann Stabilization methods for integrated high voltage charge pumps. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrej Kincel, Marcel Baláz Case study: BISR for a processor multiplier. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tariq Bashir Ahmad, Maciej J. Ciesielski Fast time-parallel C-based event-driven RTL simulation. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Harish Balasubramaniam, Klaus Hofmann Analysis of current conveyor non-idealities for implementation as integrator in delta sigma modulators. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcel Baláz, Stefan Kristofík, Mária Fischerová Generic built-in self-repair architectures for SoC logic cores. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peter Malík Dedicated hardware architecture for object tracking preprocessing implemented in FPGA. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eleonora Schönborn, Kamalika Datta, Robert Wille, Indranil Sengupta 0001, Hafizur Rahaman 0001, Rolf Drechsler Optimizing DD-based synthesis of reversible circuits using negative control lines. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vasileios Gerakis, Christina Avdikou, Alexandros Liolios, Alkis A. Hatzopoulos Modeling and analysis of cracked through silicon via (TSV) interconnections. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jan Malburg, Niklas Krafczyk, Görschwin Fey Automatically connecting hardware blocks via light-weight matching techniques. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lukas Kekely, Martin Zádník, Jirí Matousek 0002, Jan Korenek Fast lookup for dynamic packet filtering in FPGA. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pranab Roy, Hafizur Rahaman 0001, Parthasarathi Dasgupta A layout based customized testing technique for total microfluidic operations in digital microfluidic biochips. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kevin Ngari Muriithi, Toru Nakura, Kunihiro Asada Numerical and theoretical analysis on voltage and time domain dynamic range of scaled CMOS circuits. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Online testing of many-core systems in the Dark Silicon era. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1043 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license