The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ETS"( http://dblp.L3S.de/Venues/ETS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ets

Publication years (Num. hits)
2004 (27) 2005 (36) 2006 (40) 2007 (35) 2008 (31) 2009 (27) 2010 (65) 2011 (53) 2012 (57) 2013 (46) 2014 (59) 2015 (51) 2016 (55) 2017 (44) 2018 (33) 2019 (42) 2020 (43) 2021 (45) 2022 (45) 2023 (62)
Publication types (Num. hits)
inproceedings(876) proceedings(20)
Venues (Conferences, Journals, ...)
ETS(896)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 199 occurrences of 154 keywords

Results
Found 896 publication records. Showing 896 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Baris Esen, Anthony Coyette, Nektar Xama, Wim Dobbelaere, Ronny Vanhooren, Georges G. E. Gielen A very low cost and highly parallel DfT method for analog and mixed-signal circuits. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siavoosh Payandeh Azad, Behrad Niazmand, Apneet Kaur Sandhu, Jaan Raik, Gert Jervan, Thomas Hollstein Automated area and coverage optimization of minimal latency checkers. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amir Charif, Nacer-Eddine Zergainoh, Alexandre Coelho, Michael Nicolaidis Rout3D: A lightweight adaptive routing algorithm for tolerating faulty vertical links in 3D-NoCs. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maria K. Michael, Rolf Drechsler, Stephan Eggersglüß, Haralampos-G. D. Stratigopoulos, Sybille Hellebrand, Rob Aitken Foreword. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nour Sayed, Fabian Oboril, Azadeh Shirvanian, Rajendra Bishnoi, Mehdi Baradaran Tahoori Exploiting STT-MRAM for approximate computing. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marcelino Seif, Emna Farjallah, Franck Badets, Emna Chabchoub, Christophe Layer, Jean-Marc Armani, Francis Joffre, Costin Anghel, Luigi Dilillo, Valentin Gherman Refresh frequency reduction of data stored in SSDs based on A-timer and timestamps. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Fakir Sharif Hossain, Tomokazu Yoneda, Michiko Inoue, Alex Orailoglu Detecting hardware Trojans without a Golden IC through clock-tree defined circuit partitions. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rasool Sharifi, Zainalabedin Navabi Online Profiling for cluster-specific variable rate refreshing in high-density DRAM systems. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ondrej Novák Extended binary nonlinear codes and their application in testing and compression. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ben Niewenhuis, Soumya Mittal, R. D. (Shawn) Blanton Multiple-defect diagnosis for Logic Characterization Vehicles. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ilia Polian, Francesco Regazzoni 0001 Counteracting malicious faults in cryptographic circuits. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Naghmeh Karimi, Jean-Luc Danger, Mariem Slimani, Sylvain Guilley Impact of the switching activity on the aging of delay-PUFs. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maryam Shafiee, Sule Ozev Contact-less near-field measurement of RF phased array antenna mismatches. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Enrico Fraccaroli, Luca Piccolboni, Franco Fummi A homogeneous framework for AMS languages instrumentation, abstraction and simulation. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tasuku Fujibe, Kazuki Shirahata, Takeshi Mizushima, Hidenobu Matsumura, Daisuke Watanabe, Hiroyuki Mineo, Shin Masuda An optical/electrical test system for 100Gb/s optical interconnection devices with high volume testing capability. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Panagiotis Georgiou, Fotios Vartziotis, Xrysovalantis Kavousianos, Krishnendu Chakrabarty Two-dimensional time-division multiplexing for 3D-SoCs. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Te-Hui Chen, David C. Keezer A 40Gbps economic extension board and FPGA-based testing platform. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Satish Grandhi, Elsa Dupraz, Christian Spagnol, Valentin Savin, Emanuel M. Popovici CPE: Codeword Prediction Encoder. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shaofu Yang, Shi-Yu Huang, Kun-Han Tsai, Wu-Tung Cheng Testing of small delay faults in a clock network. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michele Portolan A novel test generation and application flow for functional access to IEEE 1687 instruments. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Illani Mohd Nawi, Basel Halak, Mark Zwolinski The influence of hysteresis voltage on single event transients in a 65nm CMOS high speed comparator. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Antonio J. Ginés, Eduardo J. Peralías, Gildas Léger, Adoración Rueda, Guillaume Renaud, Manuel J. Barragán, Salvador Mir Linearity test of high-speed high-performance ADCs using a self-testable on-chip generator. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Peter Sarson Group delay filter measurement using a chirp. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Niels Thole, Lorena Anghel, Görschwin Fey A hybrid algorithm to conservatively check the robustness of circuits. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michael A. Kochte, Rafal Baranowski, Matthias Sauer 0002, Bernd Becker 0001, Hans-Joachim Wunderlich Formal verification of secure reconfigurable scan network infrastructure. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ahmed Ibrahim 0001, Hans G. Kerkhoff Analysis and design of an on-chip retargeting engine for IEEE 1687 networks. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xijiang Lin, Sudhakar M. Reddy, Janusz Rajski Transistor stuck-on fault detection tests for digital CMOS circuits. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amin Vali, Nicola Nicolici Bit-flip detection-driven selection of trace signals. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jing Zhang, Lars-Johan Fritz, Liang Liu 0002, Erik Larsson Compressor design for silicon debug. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Boyang Du, Luca Sterpone, David Merodio Codinachs A new EDA flow for the mitigation of SEUs in dynamic reconfigurable FPGAs. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Imran Wali, Bastien Deveautour, Arnaud Virazel, Alberto Bosio, Patrick Girard 0001, Matteo Sonza Reorda A low-cost susceptibility analysis methodology to selectively harden logic circuits. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nasim Pour Aryan, Christian Funke, Jens Bargfrede, Cenk Yilmaz, Doris Schmitt-Landsiedel, Georg Georgakos In situ measurement of aging-induced performance degradation in digital circuits. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Weida Chen, Yongxin Zhu 0001, Xinyi Liu, Xinyang Li, Dongyu Ou Combining the histogram method and the ultrafast segmented model identification of linearity errors algorithm for ADC linearity testing. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Riccardo Cantoro, Mehrdad Montazeri, Matteo Sonza Reorda, Farrokh Ghani Zadegan, Erik Larsson On the diagnostic analysis of IEEE 1687 networks. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seetal Potluri, Satya Trinadh, Siddhant Saraf, Kamakoti Veezhinathan Component fault localization using switching current measurements. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra Cross-layer resilience. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene Read path degradation analysis in SRAM. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gildas Léger, Manuel J. Barragán Questioning the reliability of Monte Carlo simulation for machine learning test validation. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ran Wang 0002, Krishnendu Chakrabarty A design-for-test solution for monolithic 3D integrated circuits. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sebastian Huhn 0001, Stephan Eggersglüß, Rolf Drechsler VecTHOR: Low-cost compression architecture for IEEE 1149-compliant TAP controllers. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Salem Abdennadher, Saghir A. Shaikh Practices in High-Speed IO testing. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Varadan Savulimedu Veeravalli, Andreas Steininger Study of a delayed single-event effect in the Muller C-element. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stavros Hadjitheophanous, Stelios N. Neophytou, Maria K. Michael Utilizing shared memory multi-cores to speed-up the ATPG process. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Peter C. Maxwell, Friedrich Hapke, Huaxing Tang Cell-aware diagnosis: Defective inmates exposed in their cells. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Han-Yu Wu, Yong-Xiao Chen, Jin-Fu Li 0001 A built-in method for measuring the delay of TSVs in 3D ICs. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cheng-Wen Wu Is IoT coming to the rescue of semiconductor? Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stephen Sunter, Alessandro Valerio, Riccardo Miglierina Measuring defect tolerance within mixed-signal ICs. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lars Reger Securely connected vehicles - what it takes to make self-driving cars a reality. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Erik Jan Marinissen, Yervant Zorian, Mario Konijnenburg, Chih-Tsun Huang, Ping-Hsuan Hsieh, Peter Cockburn, Jeroen Delvaux, Vladimir Rozic, Bohan Yang 0001, Dave Singelée, Ingrid Verbauwhede, Cedric Mayor, Robert Van Rijsinge, Cocoy Reyes IoT: Source of test challenges. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jun Nishimaki, Toshinori Hosokawa, Hideo Fujiwara A scheduling method for hierarchical testability based on test environment generation results. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amir Charif, Nacer-Eddine Zergainoh, Michael Nicolaidis Addressing transient routing errors in fault-tolerant Networks-on-Chips. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Francisco Mesalles, Hector Villacorta, Michel Renovell, Víctor H. Champac Behavior and test of open-gate defects in FinFET based cells. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Phil Nigh Testing in the year 2024 - big changes are coming. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ithihasa Reddy Nirmala, Deepak Vontela, Swaroop Ghosh, Anirudh Iyengar A novel threshold voltage defined switch for circuit camouflaging. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gian Mayuga, Yuta Yamato, Tomokazu Yoneda, Yasuo Sato, Michiko Inoue Reliability enhancement of embedded memory with combination of aging-aware adaptive in-field self-repair and ECC. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sin-Yu Wei, Bing-Yang Lin, Cheng-Wen Wu A fast sweep-line-based failure pattern extractor for memory diagnosis. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Josef Kinseher, Moritz Völker, Leonardo Bonet Zordan, Ilia Polian Failure mechanisms and test methods for the SRAM TVC write-assist technique. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stephan Eggersglüß, Kohei Miyase, Xiaoqing Wen SAT-based post-processing for regional capture power reduction in at-speed scan test generation. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farrokh Ghani Zadegan, Dimitar Nikolov, Erik Larsson A self-reconfiguring IEEE 1687 network for fault monitoring. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Erik Jan Marinissen, Teresa L. McLaurin, Hailong Jiao IEEE Std P1838: DfT standard-under-development for 2.5D-, 3D-, and 5.5D-SICs. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jean Durupt, Pascal Vivet, Juergen Schloeffel IJTAG supported 3D DFT using chiplet-footprints for testing multi-chips active interposer system. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Said Hamdioui, Giorgio Di Natale, Bram Kruseman, Maria K. Michael, Haralampos-G. D. Stratigopoulos ETS 2016 foreword. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Adit D. Singh Cell Aware and stuck-open tests. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abhishek Koneru, Krishnendu Chakrabarty Analysis of electrostatic coupling in monolithic 3D integrated circuits and its impact on delay testing. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jeroen De Coster, Peter De Heyn, Marianna Pantouvaki, Brad Snyder, Hongtao Chen, Erik Jan Marinissen, Philippe Absil, Joris Van Campenhout, Bryan Bolt Test-station for flexible semi-automatic wafer-level silicon photonics testing. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Artur Jutman, Igor Aleksejev, Sergei Devadze On coverage of timing related faults at board level. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 21th IEEE European Test Symposium, ETS 2016, Amsterdam, Netherlands, May 23-27, 2016 Search on Bibsonomy ETS The full citation details ... 2016 DBLP  BibTeX  RDF
1Paolo Gai, Massimo Violante Automotive embedded software architecture in the multi-core age. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hans-Joachim Wunderlich, Peter C. Maxwell ETS 2015 best paper. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jihun Jung, Muhammad Adil Ansari, Dooyoung Kim, Hyunbean Yi, Sungju Park Efficient diagnosis technique for aging defects on automotive semiconductor chips. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mario Schölzel, Patryk Skoncej Software-based repair for memories in tiny embedded systems. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Elena I. Vatajelu, Rosa Rodríguez-Montañés, Stefano Di Carlo, Marco Indaco, Michel Renovell, Paolo Prinetto, Joan Figueras Power-aware voltage tuning for STT-MRAM reliability. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stelios N. Neophytou, Maria K. Michael Tackling the complexity of exact path delay fault grading for path intensive circuits. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, C. Bovi, Riccardo Cantoro, Sergio de Luca, Renato Meregalli, Davide Piumatti, Ernesto Sánchez 0001, Alessandro Sansonetti Software-based self-test techniques of computational modules in dual issue embedded processors. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniele Rossi 0001, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi NBTI and leakage aware sleep transistor design for reliable and energy efficient power gating. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vasileios Tenentes, Daniele Rossi 0001, S. Saqib Khursheed, Bashir M. Al-Hashimi Diagnosis of power switches with power-distribution-network consideration. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ioannis Voyiatzis Symmetric transparent on-line BIST of word-organized memories with binary adders. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Linus Feiten, Tobias Martin, Matthias Sauer 0002, Bernd Becker 0001 Improving RO-PUF quality on FPGAs by incorporating design-dependent frequency biases. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yukiya Miura, Tatsunori Ikeda LSI aging estimation using ring oscillators. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Imran Wali, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001 An effective hybrid fault-tolerant architecture for pipelined cores. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gian Mayuga, Yuta Yamato, Tomokazu Yoneda, Michiko Inoue, Yasuo Sato An ECC-based memory architecture with online self-repair capabilities for reliability enhancement. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alessandro Vallero, Alessandro Savino, Sotiris Tselonis, Nikos Foutris, Manolis Kaliorakis, Gianfranco Politano, Dimitris Gizopoulos, Stefano Di Carlo A Bayesian model for system level reliability estimation. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yiorgos Sfikas, Yiorgos Tsiatouhas, Mottaqiallah Taouil, Said Hamdioui On resistive open defect detection in DRAMs: The charge accumulation effect. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stephane David-Grignot, Florence Azaïs, Laurent Latorre, Francois Lefevre A new technique for low-cost phase noise production testing from 1-bit signal acquisition. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bram Kruseman Testing of Analog/Mixed Signal ICs: Past, present and future. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Karsten Scheibler, Dominik Erb, Bernd Becker 0001 Improving test pattern generation in presence of unknown values beyond restricted symbolic logic. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Douglas Goodman Expanding the boundaries of test and diagnostics: Prognostics and Health Management (PHM) for complex systems. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jinbo Wan, Hans G. Kerkhoff New drain current model for nano-meter MOS transistors on-chip threshold voltage test. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Florence Azaïs Analog test: Why still "à la mode" after more than 25 years of research? Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hideyuki Ichihara, Junpei Kamei, Tsuyoshi Iwagaki, Tomoo Inoue A practical approach for logic simplification based on fault acceptability for error tolerant application. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Wu Yang, Wu-Tung Cheng Advancements in diagnosis driven yield analysis (DDYA): A survey of state-of-the-art scan diagnosis and yield analysis technologies. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abbas BanaiyanMofrad, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori, Nikil D. Dutt Protecting caches against multi-bit errors using embedded erasure coding. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Atsushi Hirai, Yukari Yamauchi, Toshinori Hosokawa, Masayuki Arai A low capture power test generation method using capture safe test vectors. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marie-Lise Flottes, Joao Azevedo, Giorgio Di Natale, Bruno Rouzeyre Session-less based thermal-aware 3D-SIC test scheduling. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ismail Emre Araci, Paul Pop, Krishnendu Chakrabarty Microfluidic very large-scale integration for biochips: Technology, testing and fault-tolerant design. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marco Gaudesi, Matteo Sonza Reorda, Irith Pomeranz On test program compaction. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jae Woong Jeong, Jennifer Kitchen, Sule Ozev Robust amplitude measurement for RF BIST applications. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David C. Keezer, Te-Hui Chen, Thomas Moon, D. T. Stonecypher, Abhijit Chatterjee, Hyun Woo Choi, Sungyeol Kim, Hosun Yoo An FPGA-based ATE extension module for low-cost multi-GHz memory test. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jishun Kuang, Liang Zhang, Zhiqiang You, Yingbo Zhou Improve the compression ratios for code-based test vector compressions by decomposing. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tsuyoshi Iwagaki, Yutaro Ishimori, Hideyuki Ichihara, Tomoo Inoue Designing area-efficient controllers for multi-cycle transient fault tolerant systems. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 896 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license