The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Adriaan Peetermans, Milos Grujic, Vladimir Rozic, Ingrid Verbauwhede A Self-Calibrating True Random Number Generator. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Konstantina Koliogeorgi, Nils Voss, Sotiria Fytraki, Sotirios Xydis, Georgi Gaydadjiev, Dimitrios Soudris Dataflow Acceleration of Smith-Waterman with Traceback for High Throughput Next Generation Sequencing. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Di Wu 0013, Yu Zhang, Xijie Jia, Lu Tian, Tianping Li, Lingzhi Sui, Dongliang Xie, Yi Shan A High-Performance CNN Processor Based on FPGA for MobileNets. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Adriaan Peetermans, Vladimir Rozic, Ingrid Verbauwhede A Highly-Portable True Random Number Generator Based on Coherent Sampling. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lucas Kuhring, Zsolt István Storing Parquet Tile by Tile: Application-Aware Storage with Deduplication. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rui Ma, Derek Chiou, Jia-Ching Hsu, Tian Tan 0007, Eriko Nurvitadhi, David Sheffield, Rob Pelt, Martin Langhammer, Jaewoong Sim, Aravind Dasu Specializing FGPU for Persistent Deep Learning. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nicholas V. Giamblanco, Jason Helge Anderson A Dynamic Memory Allocation Library for High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ibrahim Ahmed 0001, Linda L. Shen, Vaughn Betz Becoming More Tolerant: Designing FPGAs for Variable Supply Voltage. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shuanglong Liu, Wayne Luk Towards an Efficient Accelerator for DNN-Based Remote Sensing Image Segmentation on FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shengwen Liang, Ying Wang 0001, Cheng Liu 0008, Huawei Li 0001, Xiaowei Li 0001 InS-DLA: An In-SSD Deep Learning Accelerator for Near-Data Processing. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stefan Nikolic 0001, Grace Zgheib, Paolo Ienne Finding a Needle in the Haystack of Hardened Interconnect Patterns. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abeer Alhyari, Ahmed Shamli, Ziad Abuwaimer, Shawki Areibi, Gary Gréwal A Deep Learning Framework to Predict Routability for FPGA Circuit Placement. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, Laurent Segers, An Braeken, Abdellah Touhafi Demonstration of a Multimode SoC FPGA-Based Acoustic Camera. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Marie Nguyen, Robert Tamburo, Srinivasa G. Narasimhan, James C. Hoe Quantifying the Benefits of Dynamic Partial Reconfiguration for Embedded Vision Applications. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lester Kalms, Maximilian Hajduk, Diana Göhringer Efficient Pattern Recognition Algorithm Including a Fast Retina Keypoint FPGA Implementation. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lenos Ioannou, Suhaib A. Fahmy Neural Network Overlay Using FPGA DSP Blocks. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shounak Dhar, Love Singhal, Mahesh A. Iyer, David Z. Pan FPGA Accelerated FPGA Placement. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Thomas Preußer, Alexander Weiss The CEDARtools Platform - Massive External Memory with High Bandwidth and Low Latency Under Fine-Granular Random Access Patterns. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1George Provelengios, Daniel E. Holcomb, Russell Tessier Characterizing Power Distribution Attacks in Multi-User FPGA Environments. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Seungwon Min, Sitao Huang, Mohamed El-Hadedy 0001, Jinjun Xiong, Deming Chen, Wen-Mei Hwu Analysis and Optimization of I/O Cache Coherency Strategies for SoC-FPGA Device. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Grigorios Chrysos 0001, Odysseas Papapetrou, Dionisios N. Pnevmatikatos, Apostolos Dollas, Minos N. Garofalakis Data Stream Statistics Over Sliding Windows: How to Summarize 150 Million Updates Per Second on a Single Node. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xinyu Chen 0001, Ronak Bajaj, Yao Chen 0008, Jiong He, Bingsheng He, Weng-Fai Wong, Deming Chen On-The-Fly Parallel Data Shuffling for Graph Processing on OpenCL-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Andrew Maclellan, Lewis D. McLaughlin, Louise Crockett, Robert W. Stewart FPGA Accelerated Deep Learning Radio Modulation Classification Using MATLAB System Objects & PYNQ. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Martin Langhammer, Bogdan Pasca 0001, Gregg Baeckler, Sergey Gribok Extracting INT8 Multipliers from INT18 Multipliers. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matthew Naylor, Simon W. Moore, David B. Thomas Tinsel: A Manythread Overlay for FPGA Clusters. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nikolaos Kyparissas, Apostolos Dollas An FPGA-Based Architecture to Simulate Cellular Automata with Large Neighborhoods in Real Time. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Roberto Sierra, Filippo Mangani, Carlos Carreras, Gabriel Caffarena High-Performance Decoding of Variable-Length Memory Data Packets for FPGA Stream Processing. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Glenn G. Ko, Yuji Chai, Rob A. Rutenbar, David Brooks 0001, Gu-Yeon Wei Accelerating Bayesian Inference on Structured Graphs Using Parallel Gibbs Sampling. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xifan Tang, Edouard Giacomin, Aurélien Alacchi, Baudouin Chauviere, Pierre-Emmanuel Gaillardon OpenFPGA: An Opensource Framework Enabling Rapid Prototyping of Customizable FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yohann Uguen, Luc Forget, Florent de Dinechin Evaluating the Hardware Cost of the Posit Number System. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hossein Omidian, Guy G. F. Lemieux Low-Level Loop Analysis and Pipelining of Applications Mapped to Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuchen Ren, Zhijian Liao, Xiaozhong Shi, Jinyu Xie, Yunhui Qiu, Hankun Lv, Wenbo Yin, Lingli Wang, Bowei Yu, Hua Chen, Xianjun He A Low-Latency Multi-Version Key-Value Store Using B-Tree on an FPGA-CPU Platform. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Akira Jinguji, Youki Sada, Hiroki Nakahara Real-Time Multi-Pedestrian Detection in Surveillance Camera using FPGA. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kazuei Hironaka, Kensuke Iizuka, Akram Ben Ahmed, M. M. Imdad Ullah, Yugo Yamauchi, Yuxi Sun 0001, Miho Yamakura, Aoi Hiruma, Hideharu Amano Demonstration of Flow-in-Cloud: A Multi-FPGA System. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Youki Sada, Masayuki Shimoda, Kouki Sayama, Akira Jinguji, Shimpei Sato FPGA-Based Training Accelerator Utilizing Sparseness of Convolutional Neural Network. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Paolo Cretaro A Distributed Model of Computation for Reconfigurable Devices Based on a Streaming Architecture. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leo Liu, Nachiket Kapre Timing-Aware Routing in the RapidWright Framework. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xibo Sun, Hao Zhou 0008, Lingli Wang Bent Routing Pattern for FPGA. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masayuki Shimoda, Youki Sada, Ryosuke Kuramochi, Hiroki Nakahara An FPGA Implementation of Real-Time Object Detection with a Thermal Camera. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mario Ruiz, David Sidler, Gustavo Sutter, Gustavo Alonso, Sergio López-Buedo Limago: An FPGA-Based Open-Source 100 GbE TCP/IP Stack. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yasuhiro Nitta, Sou Tamura, Hideki Takase ZytleBot: FPGA Integrated Development Platform for ROS Based Autonomous Mobile Robot. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ricardo Tapiador-Morales, Antonio Rios-Navarro, Juan Pedro Dominguez-Morales, Daniel Gutierrez-Galan, Alejandro Linares-Barranco Spiking Row-by-Row FPGA Multi-Kernel and Multi-Layer Convolution Processor. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Konstantinos Maragos 0001, Endri Taka, George Lentaris, Ioannis Stratakos, Dimitrios Soudris Analysis of Performance Variation in 16nm FinFET FPGA Devices. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rachit Rajat, Hanqing Zeng, Viktor K. Prasanna A Flexible Design Automation Tool for Accelerating Quantized Spectral CNNs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shreyas Kolala Venkataramanaiah, Yufei Ma 0002, Shihui Yin, Eriko Nurvitadhi, Aravind Dasu, Yu Cao 0001, Jae-sun Seo Automatic Compiler Based FPGA Accelerator for CNN Training. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gagandeep Singh 0002, Dionysios Diamantopoulos, Christoph Hagleitner, Sander Stuijk, Henk Corporaal NARMADA: Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farnoud Farahmand, Duc Tri Nguyen, Viet Ba Dang, Ahmed Ferozpuri, Kris Gaj Software/Hardware Codesign of the Post Quantum Cryptography Algorithm NTRUEncrypt Using High-Level Synthesis and Register-Transfer Level Design Methodologies. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kosuke Tatsumura, Alexander Dixon, Hayato Goto FPGA-Based Simulated Bifurcation Machine. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Petros Toupas, Andreas Brokalakis, Ioannis Papaefstathiou Accelerating Physics Engine Components with Embedded FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lenos Ioannou, Suhaib A. Fahmy Network Intrusion Detection Using Neural Networks on FPGA SoCs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Burkhard Ringlein, François Abel, Alexander Ditter, Beat Weiss, Christoph Hagleitner, Dietmar Fey System Architecture for Network-Attached FPGAs in the Cloud using Partial Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Victor Arribas Beyond the Limits: SHA-3 in Just 49 Slices. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Duvindu Piyasena, Rukshan Wickramasinghe, Debdeep Paul, Siew-Kei Lam, Meiqing Wu Reducing Dynamic Power in Streaming CNN Hardware Accelerators by Exploiting Computational Redundancies. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ilias Giechaskiel, Kasper Bonne Rasmussen, Jakub Szefer Measuring Long Wire Leakage with Ring Oscillators in Cloud FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ananda Samajdar, Tushar Garg, Tushar Krishna, Nachiket Kapre Scaling the Cascades: Interconnect-Aware FPGA Implementation of Machine Learning Problems. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Johan Peltenburg, Jeroen van Straten, Lars Wijtemans, Lars van Leeuwen, Zaid Al-Ars, H. Peter Hofstee Fletcher: A Framework to Efficiently Integrate FPGA Accelerators with Apache Arrow. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mikhail Asiatici, Paolo Ienne DynaBurst: Dynamically Assemblying DRAM Bursts over a Multitude of Random Accesses. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Younmin Bae, Ramyad Hadidi, Bahar Asgari, Jiashen Cao, Hyesoon Kim Capella: Customizing Perception for Edge Devices by Efficiently Allocating FPGAs to DNNs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mrunal Patel, Shenghsun Cho, Michael Ferdman, Peter A. Milder Runtime-Programmable Pipelines for Model Checkers on FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qiang Li, Erwei Wang, Shane T. Fleming, David B. Thomas, Peter Y. K. Cheung Accelerating Position-Aware Top-k ListNet for Ranking Under Custom Precision Regimes. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaoyu Yu, Jianlin Gao, Yuwei Wang, Jie Miao, Ephrem Wu, Heng Zhang, Yu Meng, Bo Zhang, Biao Min, Dewei Chen A Data-Center FPGA Acceleration Platform for Convolutional Neural Networks. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hosein Mohammadi Makrani, Farnoud Farahmand, Hossein Sayadi, Sara Bondi, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, Setareh Rafatirad Pyramid: Machine Learning Framework to Estimate the Optimal Timing and Resource Usage of a High-Level Synthesis Design. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Philippos Papaphilippou, Holger Pirk, Wayne Luk Accelerating the Merge Phase of Sort-Merge Join. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peng Guo, Hong Ma, Ruizhi Chen, Pin Li, Shaolin Xie, Donglin Wang FBNA: A Fully Binarized Neural Network Accelerator. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shanker Shreejith, Ryan A. Cooke, Suhaib A. Fahmy A Smart Network Interface Approach for Distributed Applications on Xilinx Zynq SoCs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anuj Vaishnav, Khoa Dang Pham, Dirk Koch, James Garside Resource Elastic Virtualization for FPGAs Using OpenCL. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cheng Luo, Yuhua Wang, Wei Cao 0002, Philip H. W. Leong, Lingli Wang RNA: An Accurate Residual Network Accelerator for Quantized and Reconstructed Deep Neural Networks. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tong Geng, Tianqi Wang, Ahmed Sanaullah, Chen Yang 0010, Rushi Patel, Martin C. Herbordt A Framework for Acceleration of CNN Training on Deeply-Pipelined FPGA Clusters with Work and Weight Load Balancing. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Behzad Salami 0001, Osman S. Unsal, Adrián Cristal Fault Characterization Through FPGA Undervolting. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dani Maarouf, Abeer Alhyari, Ziad Abuowaimer, Timothy Martin, Andrew David Gunter, Gary Gréwal, Shawki Areibi, Anthony Vannelli Machine-Learning Based Congestion Estimation for Modern FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sam Amiri, Mohammad Hosseinabady, Andrés Rodríguez 0001, Rafael Asenjo, Angeles G. Navarro, José L. Núñez-Yáñez Workload Partitioning Strategy for Improved Parallelism on FPGA-CPU Heterogeneous Chips. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Henri Fraisse, Dinesh Gaitonde A SAT-based Timing Driven Place and Route Flow for Critical Soft IP. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jia Liu, Qiang Liu Resource Reduction of BFGS Quasi-Newton Implementation on FPGA Using Fixed-Point Matrix Updating. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ankit Wagle, Jinghua Yang, Aykut Dengi, Sarma B. K. Vrudhula FPGAs with Reconfigurable Threshold Logic Gates for Improved Performance, Power and Area. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Eriko Nurvitadhi, Jeffrey J. Cook, Asit K. Mishra, Debbie Marr, Kevin Nealis, Philip Colangelo, Andrew C. Ling, Davor Capalija, Utku Aydonat, Aravind Dasu, Sergey Y. Shumarayev In-Package Domain-Specific ASICs for Intel® Stratix® 10 FPGAs: A Case Study of Accelerating Deep Learning Using TensorTile ASIC. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Thiem Van Chu, Kenji Kise An Effective Architecture for Trace-Driven Emulation of Networks-on-Chip on FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qingqing Xiong, Anthony Skjellum, Martin C. Herbordt Accelerating MPI Message Matching through FPGA Offload. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Stylianos I. Venieris, Christos-Savvas Bouganis f-CNNx: A Toolflow for Mapping Multiple Convolutional Neural Networks on FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jonathan Déchelotte, Russell Tessier, Dominique Dallet, Jérémie Crenne Lynq: A Lightweight Software Layer for Rapid SoC FPGA Prototyping. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Julian Faraone, Giulio Gambardella, Nicholas J. Fraser, Michaela Blott, Philip H. W. Leong, David Boland Customizing Low-Precision Deep Neural Networks for FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jan Kühn, Yiannos Manoli An Application-Specific Field-Programmable Tree Ensemble Architecture. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fearghal Morgan, Declan O'Loughlin, Jeremy Audiger, Yohan Boyer, Frank Callaly viciLogic2.0 Online Learning and Prototyping Using PYNQ. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xuegong Zhou, Lingli Wang, Peiyi Zhao, Alan Mishchenko Fast Adjustable NPN Classification using Generalized Symmetries. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew Boutros, Sadegh Yazdanshenas, Vaughn Betz Embracing Diversity: Enhanced DSP Blocks for Low-Precision Deep Learning on FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ibrahim Ahmed 0001, Shuze Zhao, James Meijers, Olivier Trescases, Vaughn Betz Automatic BRAM Testing for Robust Dynamic Voltage Scaling for FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ameer M. S. Abdelhadi, Guy G. F. Lemieux, Lesley Shannon Modular Block-RAM-Based Longest-Prefix Match Ternary Content-Addressable Memories. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Takuya Kojima, Hideharu Amano A Configuration Data Multicasting Method for Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zsolt István, Gustavo Alonso, Ankit Singla Providing Multi-tenant Services with FPGAs: Case Study on a Key-Value Store. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Patrick Sittel, Martin Kumm, Julian Oppermann, Konrad Möller, Peter Zipf, Andreas Koch 0001 ILP-Based Modulo Scheduling and Binding for Register Minimization. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Georgios Chatzianastasiou, George A. Constantinides An Efficient FPGA-based Axis-Aligned Box Tool for Embedded Computer Graphics. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vladimir Rybalkin, Alessandro Pappalardo, Muhammad Mohsin Ghaffar, Giulio Gambardella, Norbert Wehn, Michaela Blott FINN-L: Library Extensions and Design Trade-Off Analysis for Variable Precision LSTM Networks on FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andreea-Ingrid Cross, Liucheng Guo, Wayne Luk, Mark Salmon CRRS: Custom Regression and Regularisation Solver for Large-Scale Linear Systems. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yi Shan ADAS and Video Surveillance Analytics System Using Deep Learning Algorithms on FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dustin Richmond, Michael Barrow, Ryan Kastner Everyone's a Critic: A Tool for Exploring RISC-V Projects. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tobias Alonso, Mario Ruiz, Angel Lopez Garcia-Arias, Gustavo Sutter, Jorge E. López de Vergara Submicrosecond Latency Video Compression in a Low-End FPGA-based System-on-Chip. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Ho-Cheung Ng, Shuanglong Liu, Zhiqiang Que, Xinyu Niu, Wayne Luk Reconfigurable Acceleration of 3D-CNNs for Human Action Recognition with Block Floating-Point Representation. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yao Liu 0006, Ray C. C. Cheung, Hei Wong Lightweight Secure Processor Prototype on FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Masayuki Shimoda, Shimpei Sato, Hiroki Nakahara Demonstration of Object Detection for Event-Driven Cameras on FPGAs and GPUs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Donggyu Kim, Christopher Celio, Sagar Karandikar, David Biancolin, Jonathan Bachrach, Krste Asanovic DESSERT: Debugging RTL Effectively with State Snapshotting for Error Replays across Trillions of Cycles. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chirag Ravishankar, Dinesh Gaitonde, Trevor Bauer Placement Strategies for 2.5D FPGA Fabric Architectures. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 3186 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license