The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Xiaoyang Lu, Rujia Wang, Xian-He Sun APAC: An Accurate and Adaptive Prefetch Framework with Concurrent Memory Access Analysis. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jin Wu, Jian Dong, Ruili Fang, Wenwen Wang 0001, Decheng Zuo PerfDBT: Efficient Performance Regression Testing of Dynamic Binary Translation. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ritajit Majumdar, Susmita Sur-Kolay Special Session: Quantum Error Correction in Near Term Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Chu, Wei-Hao Chen, Shi-Yu Huang Duty-Cycle Correction For A Super-Wide Frequency Range from 10MHz to 1.2GHz. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kalle Ngo, Elena Dubrova, Michail Moraitis Attacking Trivium at the Bitstream Level. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Li, Libing Wu, Mengting Yuan, Chun Jason Xue, Jingling Xue, Qingan Li Loop2Recursion: Compiler-Assisted Wear Leveling for Non-Volatile Memory. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jianhao Chen, Joseph Riad, Edgar Sánchez-Sinencio, Peng Li 0001 Dynamic Heterogeneous Voltage Regulation for Systolic Array-Based DNN Accelerators. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yaobin Qin, Xianbo Zhang, David J. Lilja PBCCF: Accelerated Deduplication by Prefetching Backup Content Correlated Fingerprints. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Md Hafizul Islam Chowdhuryy, Hang Liu 0001, Fan Yao BranchSpec: Information Leakage Attacks Exploiting Speculative Branch Instruction Executions. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Giovanni Bambini, Robert Balas, Christian Conficoni, Andrea Tilli, Luca Benini, Simone Benatti, Andrea Bartolini An Open-Source Scalable Thermal and Power Controller for HPC Processors. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Joe Augustine, Kanakagiri Raghavendra, John Jose, Madhu Mutyam Router Buffer Caching for Managing Shared Cache Blocks in Tiled Multi-Core Processors. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Beomjun Kim, Prashant J. Nair, Seokin Hong ADAM: Adaptive Block Placement with Metadata Embedding for Hybrid Caches. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai Design of Shape-Changeable Chiplet-Based Computers Using an Inductively Coupled Wireless Bus Interface. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rui Xu, Sheng Ma, Yaohua Wang, Yang Guo 0003 CMSA: Configurable Multi-directional Systolic Array for Convolutional Neural Networks. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Marcos T. Leipnitz, Gabriel L. Nazar Throughput-Oriented Spatio-Temporal Optimization in Approximate High-Level Synthesis. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rashmi S. Agrawal 0001, Lake Bu, Michel A. Kinsy Quantum-Proof Lightweight McEliece Cryptosystem Co-processor Design. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abdulqader Nael Mahmoud, Frederic Vanderveken, Christoph Adelmann, Florin Ciubotaru, Said Hamdioui, Sorin Cotofana 4-output Programmable Spin Wave Logic Gate. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Michael P. Frank, Robert W. Brocato, Thomas M. Conte, Alexander H. Hsia, Anirudh Jain, Nancy A. Missert, Karpur Shukla, Brian D. Tierney Special Session: Exploring the Ultimate Limits of Adiabatic Circuits. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abhijit Das 0002, Abhishek Kumar, John Jose Reducing Off-Chip Miss Penalty by Exploiting Underutilised On-Chip Router Buffers. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ki-Dong Kang, Hyungwon Park, Gyeongseo Park, Daehoon Kim Improving the Efficiency of Power Management via Dynamic Interrupt Management. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Wang, Lei Cui 0003, Zhiyu Hao, Haiqiang Fei, Chonghua Wang, Yaqiong Peng pRnR: A Parallel Record-Replay Framework for Virtual Machines. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kunal Bharathi, Jiang Hu, Sunil P. Khatri Scaled Population Subtraction for Approximate Computing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sandeep Krishna Thirumala, Arnab Raha, Vijay Raghunathan, Sumeet Kumar Gupta IPS-CiM: Enhancing Energy Efficiency of Intermittently-Powered Systems with Compute-in-Memory. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ning Bao, Yunpeng Chai, Yuxuan Zhang, Chuanwen Wang, Dafang Zhang More Space may be Cheaper: Multi-Dimensional Resource Allocation for NVM-based Cloud Cache. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yongjian Li, Taifeng Cao, David N. Jansen, Jun Pang 0001, Xiaotao Wei Accelerated Verification of Parametric Protocols with Decision Trees. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Martin Ferianc, Shuanglong Liu, Zhiqiang Que, Xinyu Niu, Wayne Luk Optimizing FPGA-Based CNN Accelerator Using Differentiable Neural Architecture Search. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bahar Asgari, Ramyad Hadidi, Hyesoon Kim MEISSA: Multiplying Matrices Efficiently in a Scalable Systolic Architecture. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vladimir Herdt, Daniel Große, Sören Tempel, Rolf Drechsler Adaptive Simulation with Virtual Prototypes for RISC-V: Switching Between Fast and Accurate at Runtime. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Anomadarshi Barua, Mohammad Abdullah Al Faruque Special Session: Noninvasive Sensor-Spoofing Attacks on Embedded and Cyber-Physical Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jinwoo Kim, Venkata Chaitanya Krishna Chekuri, Nael Mizanur Rahman, Majid Ahadi Dolatsara, Hakki Mert Torun, Madhavan Swaminathan, Saibal Mukhopadhyay, Sung Kyu Lim Silicon vs. Organic Interposer: PPA and Reliability Tradeoffs in Heterogeneous 2.5D Chiplet Integration. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 38th IEEE International Conference on Computer Design, ICCD 2020, Hartford, CT, USA, October 18-21, 2020 Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ajinkya S. Bankar, Shi Sha, Vivek Chaturvedi, Gang Quan Thermal Aware Lifetime Reliability Optimization for Automotive Distributed Computing Applications. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1S. Ross Thompson, James E. Stine A Novel Rounding Algorithm for a High Performance IEEE 754 Double-Precision Floating-Point Multiplier. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Himanshu Thapliyal, S. Dinesh Kumar Special Session: A Novel Low-Power and Energy-Efficient Adiabatic Logic-In-Memory Architecture Using CMOS/MTJ. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Romain Mercier, Cédric Killian, Angeliki Kritikakou, Youri Helen, Daniel Chillet Multiple Permanent Faults Mitigation Through Bit-Shuffling for Network-an-Chip Architecture. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xin Wang 0056, Wei Zhang 0002 pacSCA: A Profiling-Assisted Correlation-based Side-Channel Attack on GPUs. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Amir Erfan Eshratifar, Massoud Pedram Runtime Deep Model Multiplexing for Reduced Latency and Energy Consumption Inference. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhuolun He, Yuzhe Ma, Lu Zhang, Peiyu Liao, Ngai Wong, Bei Yu 0001, Martin D. F. Wong Learn to Floorplan through Acquisition of Effective Local Search Heuristics. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bing Wu 0001, Mengye Peng, Dan Feng 0001, Wei Tong 0001 DualFS: A Coordinative Flash File System with Flash Block Dual-mode Switching. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dimitrios Tychalas, Michail Maniatakos Special Session: Potentially Leaky Controller: Examining Cache Side-Channel Attacks in Programmable Logic Controllers. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiaoming Du, Cong Li DPCLS: Improving Partial Cache Line Sparing with Dynamics for Memory Error Prevention. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ivan Fernandez, Ricardo Quislant, Eladio Gutiérrez, Oscar G. Plata, Christina Giannoula, Mohammed Alser, Juan Gómez-Luna, Onur Mutlu NATSA: A Near-Data Processing Accelerator for Time Series Analysis. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kyle Kuan, Tosiron Adegbija A Study of Runtime Adaptive Prefetching for STTRAM L1 Caches. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Anuradha Chathuranga Ranasinghe, Sabih H. Gerez MEPNTC: A Standard-Cell Library Design Scheme Extending the Minimum-Energy-Point Operation of Near-$V_{th}$ Computing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Solon Falas, Charalambos Konstantinou, Maria K. Michael Special Session: Physics- Informed Neural Networks for Securing Water Distribution Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Md. Shohidul Islam, Abraham Peedikayil Kuruvila, Kanad Basu, Khaled N. Khasawneh ND-HMDs: Non-Differentiable Hardware Malware Detectors against Evasive Transient Execution Attacks. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Raghda El Shehaby, Andreas Steininger On the Effects of Permanent Faults in QDI Circuits - A Quantitative Perspective. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mehran Goli, Alireza Mahzoon, Rolf Drechsler ASCHyRO: Automatic Fault Localization of SystemC HLS Designs Using a Hybrid Accurate Rank Ordering Technique. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Haoqiang Guo, Lu Peng 0001, Jian Zhang 0004, Qing Chen, Travis LeCompte ATT: A Fault-Tolerant ReRAM Accelerator for Attention-based Neural Networks. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Md Toufiq Hasan Anik, Mohammad Ebrahimabadi, Hamed Pirsiavash, Jean-Luc Danger, Sylvain Guilley, Naghmeh Karimi On-Chip Voltage and Temperature Digital Sensor for Security, Reliability, and Portability. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ponnanna Kelettira Muthappa, Florian Neugebauer, Ilia Polian, John P. Hayes Hardware-based Fast Real-time Image Classification with Stochastic Computing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tom Glint, Jitesh Sah, Manu Awasthi, Joycee Mekie ANSim: A Fast and Versatile Asynchronous Network-On-Chip Simulator. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Maciej Ciesielski Message from the General Chair ICCD 2020. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sangyoung Park, Swaminathan Narayanaswamy, Samarjit Chakraborty Design- Time Optimization of Reconfigurable PV Architectures for Irregular Surfaces. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Anthony Agnesina, Da Eun Shim, James Yamaguchi, Christian Krutzik, John Carson, Dan Nakamura, Sung Kyu Lim A Fault-Tolerant and High-Speed Memory Controller Targeting 3D Flash Memory Cubes for Space Applications. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kohei Ogura, Yasuhiro Takahashi Special Session: An Adiabatic Logic Based Silicon Physical Unclonable Function. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Qiong Chang, Aolong Zha, Weimin Wang 0007, Xin Liu 0020, Masaki Onishi, Tsutomu Maruyama Z2-ZNCC: ZigZag Scanning based Zero-means Normalized Cross Correlation for Fast and Accurate Stereo Matching on Embedded GPU. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rohit Sreekumar, Prattay Chowdhury, Benjamin Carrión Schäfer Bespoke Behavioral Processors. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rahul Krishnamurthy, Michael S. Hsiao Transforming Natural Language Specifications to Logical Forms for Hardware Verification. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Farid Uddin Ahmed, Zarin Tasnim Sandhie, Masud H. Chowdhury An Implementation of External Capacitor-less Low-DropOut Voltage Regulator in 45nm Technology with Output Voltage Ranging from 0.4V-1.2V. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xinyi Zhang, Weiwen Jiang, Jingtong Hu Achieving Full Parallelism in LSTM via a Unified Accelerator Design. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Krithika Dhananjay, Emre Salman Special Session: Adiabatic Circuits for Energy-Efficient and Secure IoT Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Leonid Yavits, Lois Orosa 0001, Suyash Mahar, João Dinis Ferreira, Mattan Erez, Ran Ginosar, Onur Mutlu WoLFRaM: Enhancing Wear-Leveling and Fault Tolerance in Resistive Memories using Programmable Address Decoders. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yingxun Fu, Yao Sun, Tao Li 0006 QuPAA: Exploiting Parallel and Adaptive Architecture to Scale up Quantum Computing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tianqi Zhan, Xianpeng Wang 0005, Dan Feng 0001, Wei Tong 0001 AetEC: Adaptive error-tolerant Erasure Coding Scheme Within SSDs. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hyunjong Choi, Mohsen Karimi, Hyoseung Kim 0001 Chain-Based Fixed-Priority Scheduling of Loosely-Dependent Tasks. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ankit Wagle, Sunil P. Khatri, Sarma B. K. Vrudhula A Configurable BNN ASIC using a Network of Programmable Threshold Logic Standard Cells. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ali Ebrahim, Jalal Khlaifat An Efficient Hardware Architecture for Finding Frequent Items in Data Streams. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Suzhen Wu, Jindong Zhou, Weidong Zhu, Hong Jiang 0001, Zhijie Huang, Zhirong Shen, Bo Mao EaD: a Collision-free and High Performance Deduplication Scheme for Flash Storage Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xi Zeng, Tian Zhi, Zidong Du, Qi Guo 0001, Ninghui Sun, Yunji Chen ALT: Optimizing Tensor Compilation in Deep Learning Compilers with Active Learning. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiangsu Du, Minghua Shen, Yunfei Du A Distributed In-Situ CNN Inference System for IoT Applications. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Himanshu Thapliyal, Edgard Muñoz-Coreas, Vladislav Khalus Special Session: Quantum Carry Lookahead Adders for NISQ and Quantum Image Processing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ravikumar V. Chakaravarthy, Hua Jiang Special Session: XTA: Open Source eXtensible, Scalable and Adaptable Tensor Architecture for AI Acceleration. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Md Jubaer Hossain Pantho, Pankaj Bhowmik, Christophe Bobda Near-Sensor Inference Architecture with Region Aware Processing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yukui Luo, Cheng Gongye, Shaolei Ren, Yunsi Fei, Xiaolin Xu Stealthy-Shutdown: Practical Remote Power Attacks in Multi - Tenant FPGAs. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Heming Zeng, Chi Zhang, Chentao Wu, Gen Yang, Jie Li 0002, Guangtao Xue, Minyi Guo FAGR: An Efficient File-aware Graph Recovery Scheme for Erasure Coded Cloud Storage Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hengyu Zhao, Yubo Zhang, Pingfan Meng, Hui Shi, Li Erran Li, Tiancheng Lou, Jishen Zhao Driving Scenario Perception-Aware Computing System Design in Autonomous Vehicles. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Joonas Multanen, Kari Hepola, Pekka Jääskeläinen Programmable Dictionary Code Compression for Instruction Stream Energy Efficiency. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wentian Jin, Sheriff Sadiqbatcha, Zeyu Sun 0001, Han Zhou 0002, Sheldon X.-D. Tan EM-GAN: Data-Driven Fast Stress Analysis for Multi-Segment Interconnects. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Haoran Zhao, Tian Xia 0008, Chenyang Li, Wenzhe Zhao, Nanning Zheng 0001, Pengju Ren Exploring Better Speculation and Data Locality in Sparse Matrix-Vector Multiplication on Intel Xeon. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jeong-Jun Lee, Peng Li 0001 Reconfigurable Dataflow Optimization for Spatiotemporal Spiking Neural Computation on Systolic Array Accelerators. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chundong Wang 0001, Sudipta Chattopadhyay 0001 Isle-Tree: A B+-Tree with Intra-Cache Line Sorted Leaves for Non-volatile Memory. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiangzhong Luo, Di Liu 0002, Hao Kong, Weichen Liu EdgeNAS: Discovering Efficient Neural Architectures for Edge Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dawen Xu 0002, Cheng Chu, Qianlong Wang, Cheng Liu 0008, Ying Wang 0001, Lei Zhang 0008, Huaguo Liang, Kwang-Ting Cheng A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rui Xu 0013, Edwin H.-M. Sha, Qingfeng Zhuge, Shouzhen Gu, Liang Shi Optimizing Data Placement for Hybrid SPM with SRAM and Racetrack Memory. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenpeng He, Fang Wang 0001, Dan Feng 0001 H2ORAM: Low Response Latency Optimized ORAM for Hybrid Memory Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chunhua Xiao, Zipei Feng, Ting Wu 0012, Lin Zhang, Xiaoxiang Fu, Weichen Liu COSMA: An Efficient Concurrency-Oriented Space Management Scheme for In-memory File Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ruben Vazquez, Ann Gordon-Ross, Greg Stitt Energy Prediction for Cache Tuning in Embedded Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Dominik Ermel, Lennart Bamberg, Alberto García Ortiz, Thilo Pionteck System-Level Optimization of Network-on-Chips for Heterogeneous 3D System-on-Chips. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jinrong Guo, Wantao Liu, Wang Wang, Chunrong Yao, Jizhong Han, Ruixuan Li 0001, Yijun Lu, Songlin Hu AccUDNN: A GPU Memory Efficient Accelerator for Training Ultra-Deep Neural Networks. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wen Wen, Youtao Zhang, Jun Yang 0002 ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network Accelerators. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Weihao Cui, Mengze Wei, Quan Chen 0002, Xiaoxin Tang, Jingwen Leng, Li Li 0012, Mingyi Guo Ebird: Elastic Batch for Improving Responsiveness and Throughput of Deep Learning Services. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pooria M. Yaghini, George Michelogiannakis, Paul V. Gratz SpecLock: Speculative Lock Forwarding. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1En Shao, Guangming Tan, Zhan Wang, Guojun Yuan, Ninghui Sun A New Traffic Offloading Method with Slow Switching Optical Device in Exascale Computer. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yang Hu 0001, Jianda Wang Architectural and Cost Implications of the 5G Edge NFV Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Moming Duan, Duo Liu, Xianzhang Chen, Yujuan Tan, Jinting Ren, Lei Qiao, Liang Liang 0002 Astraea: Self-Balancing Federated Learning for Improving Classification Accuracy of Mobile Deep Learning Applications. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Deepraj Soni, Mohammed Nabeel 0001, Kanad Basu, Ramesh Karri Power, Area, Speed, and Security (PASS) Trade-Offs of NIST PQC Signature Candidates Using a C to ASIC Design Flow. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Emanuele Bellini 0002, Florian Caullery, Rusydi H. Makarim, Marc Manzano, Chiara Marcolla, Víctor Mateu Advances and Challenges of Rank Metric Cryptography Implementations. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaoyu Zhang 0009, Xiaoming Chen 0003, Yinhe Han 0001 FeMAT: Exploring In-Memory Processing in Multifunctional FeFET-Based Memory Array. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Huili Chen, Rosario Cammarota, Felipe Valencia, Francesco Regazzoni 0001 PlaidML-HE: Acceleration of Deep Learning Kernels to Compute on Encrypted Data. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license