The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for IOLTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (68) 2006 (58) 2007 (61) 2008 (60) 2009 (55) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (48) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
article(3) inproceedings(1076) proceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 40 keywords

Results
Found 1100 publication records. Showing 1100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Ghaith Bany Hamad, Ghaith Kazma, Otmane Aït Mohamed, Yvon Savaria Comprehensive analysis of sequential circuits vulnerability to transient faults using SMT. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44George Papadimitriou 0001, Manolis Kaliorakis, Athanasios Chatzidimitriou, Charalampos Magdalinos, Dimitris Gizopoulos Voltage margins identification on commercial x86-64 multicore microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Konstantinos Tovletoglou, Dimitrios S. Nikolopoulos, Georgios Karakonstantis Relaxing DRAM refresh rate through access pattern scheduling: A case study on stencil-based algorithms. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Javad Talafy, Hamid R. Zarandi Soft error analysis of MTJ-based logic-in-memory full adder: Threats and solution. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Felix Mühlbauer, Lukas Schröder, Mario Schölzel Handling of permanent faults in dynamically scheduled processors. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Christophe Eychenne, Yervant Zorian An effective functional safety infrastructure for system-on-chips. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Toral Shah, Anzhela Yu. Matrosova, Virendra Singh Test pattern generation to detect multiple faults in ROBDD based combinational circuits. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Toshinori Hosokawa, Shun Takeda, Hiroshi Yamazaki, Masayoshi Yoshimura Controller augmentation and test point insertion at RTL for concurrent operational unit testing. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Florian Cacho, Ahmed Benhassain, Riddhi Jitendrakumar Shah, Souhir Mhira, Vincent Huard, Lorena Anghel Investigation of critical path selection for in-situ monitors insertion. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Ivan Miro Panades, Edith Beigné, Olivier Billoint, Yvain Thonnart In-situ Fmax/Vmin tracking for energy efficiency and reliability optimization. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Jing Ye 0001, Yue Gong, Yu Hu 0001, Xiaowei Li 0001 Polymorphic PUF: Exploiting reconfigurability of CPU+FPGA SoC to resist modeling attack. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Elena Ioana Vatajelu, Lorena Anghel Reliability analysis of MTJ-based functional module for neuromorphic computing. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Hardware Trojans classification for gate-level netlists using multi-layer neural networks. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Alexander Schöll, Claus Braun, Hans-Joachim Wunderlich Energy-efficient and error-resilient iterative solvers for approximate computing. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Robert Schmidt 0003, Alberto García Ortiz, Görschwin Fey Temporal redundancy latch-based architecture for soft error mitigation. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Yukiya Miura, Takuya Yamamoto Simulation-based analysis of FF behavior in presence of power supply noise. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Jing Ye 0001, Yu Hu 0001, Xiaowei Li 0001 VPUF: Voter based physical unclonable function with high reliability and modeling attack resistance. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Manuel Escudero-Lopez, Francesc Moll, Antonio Rubio 0001, Ioannis Vourkas An on-line test strategy and analysis for a 1T1R crossbar memory. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Petr Pfeifer, Farnoosh Hosseinzadeh, Heinrich Theodor Vierhaus On comparison of robust configurable FPGA encoders for dependable industrial communication systems. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Adedotun Adeyemo, Abusaleh M. Jabir, Jimson Mathew, Eugenio Martinelli, Corrado Di Natale, Marco Ottavi Reliable gas sensing with memristive array. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Jose Angel Miranda, Anna Vaskova, Marta Portela-García, Mario García-Valderas, Celia López-Ongil On-line testing of sensor networks: A case study. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Xiaobing Shi, Nicola Nicolici A generic embedded sequence generator for constrained-random validation with weighted distributions. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Anjela Yu. Matrosova, Eugeniy Mitrofanov, Sergei Ostanin, Irina Kirienko Trojan circuits preventing and masking in sequential circuits. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Harsh N. Patel, Benton H. Calhoun, Randy W. Mann Soft errors: Reliability challenges in energy-constrained ULP body sensor networks applications. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Alexander Klockmann, Georg Georgakos, Michael Gössel A new 3-bit burst-error correcting code. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44P. Madhukar Reddy, Stavros Hadjitheophanous, Vassos Soteriou, Paul V. Gratz, Maria K. Michael Minimal exercise vector generation for reliability improvement. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Theodoros Toulas, Spyros Tragoudas Diagnosis with transition faults on embedded segments. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Mohamed A. Abufalgha, Alex Bystrov Design-time reliability evaluation for digital circuits. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Mohammad Saber Golanbari, Mehdi Baradaran Tahoori Design flows for resilient energy-efficient systems. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Koyo Suzuki, Katsuyoshi Miura, Koji Nakamae NBTI/PBTI tolerant arbiter PUF circuits. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Binod Kumar 0001, Ankit Jindal, Jaynarayan T. Tudu, Brajesh Pandey, Virendra Singh Revisiting random access scan for effective enhancement of post-silicon observability. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Souhir Mhira, Vincent Huard, Ahmed Benhassain, Florian Cacho, Sylvie Naudet, Abhishek Jain 0003, C. R. Parthasarathy, Alain Bravaix Dynamic aging compensation and Safety measures in Automotive environment. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Hanna Shaheen, Gabriele Boschi, Gurgen Harutyunyan, Yervant Zorian Advanced ECC solution for automotive SoCs. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Fangan-Yssouf Dosso, Pascal Véron Cache timing attacks countermeasures and error detection in Euclidean addition chains based scalar multiplication algorithm for elliptic curves. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Nihar Hage, Rohini Gulve, Masahiro Fujita, Virendra Singh Instruction-based self-test for delay faults maximizing operating temperature. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Batya Karp, Yerucham Berkowitz, Osnat Keren Jamming resistant encoding for non-uniformly distributed information. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Jacob A. Abraham, Suvadeep Banerjee, Abhijit Chatterjee Design of efficient error resilience in signal processing and control systems: From algorithms to circuits. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Alexis Krakovinsky, Marc Bocquet, Romain Wacquez, Jean Coignus, Jean-Michel Portal Thermal laser attack and high temperature heating on HfO2-based OxRAM cells. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Ahmed Ibrahim 0001, Hans G. Kerkhoff A cost-efficient dependability management framework for self-aware system-on-chips based on IEEE 1687. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Mohamed Makhlouf, Diana Goller, Lutz Gendrisch, Stephan Kolnsberg, Franz Vogt, Alexander Utz, Dirk Weiler, Holger Vogt Automating wafer-level test of uncooled infrared detectors using wafer-prober. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Woongrae Kim, Taizhi Liu, Linda Milor On-line monitoring of system health using on-chip SRAMs as a wearout sensor. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Alessandro Vallero, Dimitris Gizopoulos, Stefano Di Carlo SIFI: AMD southern islands GPU microarchitectural level fault injector. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Shahin Tajik, Julian Fietkau 0002, Heiko Lohrke, Jean-Pierre Seifert, Christian Boit PUFMon: Security monitoring of FPGAs using physically unclonable functions. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Md Imran Momtaz, Suvadeep Banerjee, Abhijit Chatterjee Probabilistic error detection and correction in switched capacitor circuits using checksum codes. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Nikolaos Karousos, Konstantinos Pexaras, Irene G. Karybali, Emmanouil Kalligeros Weighted logic locking: A new approach for IC piracy protection. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Dan Alexandrescu, Adrian Evans, Maximilien Glorieux, Issam Nofal EDA support for functional safety - How static and dynamic failure analysis can improve productivity in the assessment of functional safety. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Giorgio Di Natale, Maha Kooli, Alberto Bosio, Michele Portolan, Régis Leveugle Reliability of computing systems: From flip flops to variables. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Bartomeu Alorda, Gabriel Torrens, Sebastià A. Bota 6T CMOS SRAMs reliability monitoring through stability measurements. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Yiorgos Sfikas, Yiorgos Tsiatouhas Variation tolerant BTI monitoring in SRAM cells. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Ulrich Backhausen, Oscar Ballan, Paolo Bernardi, Sergio de Luca, Julie Henzler, Thomas Kern, Davide Piumatti, Thomas Rabenalt, Krishnapriya Chakiat Ramamoorthy, Ernesto Sánchez 0001, Alessandro Sansonetti, Rudolf Ullmann, Federico Venini, Robert Wiesner Robustness in automotive electronics: An industrial overview of major concerns. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Stefan Scharoba, Heinrich Theodor Vierhaus Fast power overhead prediction for hardware redundancy-based fault tolerance. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44 22nd IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016 Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  BibTeX  RDF
44Ajay Kapoor, Nur Engin, Johan Verdaasdonk Leakage mitigation for low power microcontroller design in 40nm for Internet-of-Things (IoT). Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Andreina Zambrano, Hans G. Kerkhoff Online monitoring of the maximum angle error in AMR sensors. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Zhen Gao 0001, Pedro Reviriego, Juan Antonio Maestro Efficient fault tolerant parallel matrix-vector multiplications. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Elena Ioana Vatajelu, Giorgio Di Natale, Paolo Prinetto STT-MTJ-based TRNG with on-the-fly temperature/current variation compensation. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Panagiotis Sismanoglou, Dimitris Nikolos Conditional soft-edge flip-flop for SET mitigation. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Adedotun Adeyemo, Xiaohan Yang, Anu Bala, Jimson Mathew, Abusaleh M. Jabir Analytic models for crossbar read operation. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Bartomeu Alorda, Cristian Carmona, Gabriel Torrens, Sebastià A. Bota On-line write margin estimator to monitor performance degradation in SRAM cores. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Romain Champon, Vincent Beroulle, Athanasios Papadimitriou, David Hély, Gilles Genévrier, Frédéric Cézilly Comparison of RTL fault models for the robustness evaluation of aerospace FPGA devices. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Jiajing Cai, Basel Halak, Daniele Rossi 0001 Analysis of BTI aging of level shifters. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Alejandro Serrano-Cases, Jose Isaza-Gonzalez, Sergio Cuenca-Asensi, Antonio Martínez-Álvarez On the influence of compiler optimizations in the fault tolerance of embedded systems. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Satyadev Ahlawat, Jaynarayan T. Tudu, Anzhela Yu. Matrosova, Virendra Singh A high performance scan flip-flop design for serial and mixed mode scan test. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Serhiy Avramenko, Matteo Sonza Reorda, Massimo Violante, Görschwin Fey, Jan-Gerd Mess, Robert Schmidt 0003 On the robustness of DCT-based compression algorithms for space applications. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Mohd Syafiq Mispan, Basel Halak, Mark Zwolinski NBTI aging evaluation of PUF-based differential architectures. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Manish Rana, Ramon Canal, Esteve Amat, Antonio Rubio 0001 Statistical analysis and comparison of 2T and 3T1D e-DRAM minimum energy operation. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Shuhei Yoshida, Go Matsukawa, Shintaro Izumi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto An soft error propagation analysis considering logical masking effect on re-convergent path. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Michael Nicolaidis, Michael G. Dimopoulos Advanced double-sampling architectures. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Konstantin Tscherkaschin, Theodor Hillebrand, Maike Taddiken, Steffen Paul, Dagmar Peters-Drolshagen Temperature- and aging-resistant inverter for robust and reliable time to digital circuit designs in a 65nm bulk CMOS process. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Chandra K. H. Suresh, Bodhisatwa Mazumdar, Sk Subidh Ali, Ozgur Sinanoglu Power-side-channel analysis of carbon nanotube FET based design. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Rongmei Chen, Enxia Zhang, Bharat L. Bhuva Single-event performance of differential flip-flop designs and hardening implication. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Arash Nejat, David Hély, Vincent Beroulle Reusing logic masking to facilitate path-delay-based hardware Trojan detection. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Kento Hasegawa, Masaru Oya, Masao Yanagisawa, Nozomu Togawa Hardware Trojans classification for gate-level netlists based on machine learning. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44David Trilla, Carles Hernández 0001, Jaume Abella 0001, Francisco J. Cazorla Resilient random modulo cache memories for probabilistically-analyzable real-time systems. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Mojtaba Ebrahimi, Maryam Rashvand, Firas Kaddachi, Mehdi Baradaran Tahoori, Giorgio Di Natale Revisiting software-based soft error mitigation techniques via accurate error generation and propagation models. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Biswajit Bhowmik, Santosh Biswas, Jatindra Kumar Deka An odd-even scheme to prevent a packet from being corrupted and dropped in fault tolerant NoCs. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Dimitris Theodoropoulos, Nektarios Kranitis, Antonis M. Paschalis An efficient LDPC encoder architecture for space applications. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Riccardo Cantoro, Mehrdad Montazeri, Matteo Sonza Reorda, Farrokh Ghani Zadegan, Erik Larsson Automatic generation of stimuli for fault diagnosis in IEEE 1687 networks. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Hailong Jiao, Yongmin Qiu, Volkan Kursun Variations-tolerant 9T SRAM circuit with robust and low leakage SLEEP mode. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Yutaka Masuda, Masanori Hashimoto, Takao Onoye Hardware-simulation correlation of timing error detection performance of software-based error detection mechanisms. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Boukary Ouattara, Olivier Héron, Chiara Sandionigi Fine-grain analysis of the parameters involved in aging of digital circuits. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Xiang Chen 0007, Wenhui Yang, Ming Zhao 0001, Jing Wang 0001 HLS-based sensitivity-inductive soft error mitigation for satellite communication systems. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Biswajit Bhowmik, Jatindra Kumar Deka, Santosh Biswas An on-line test solution for addressing interconnect shorts in on-chip networks. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44George Papadimitriou 0001, Athanasios Chatzidimitriou, Dimitris Gizopoulos, Ronny Morad ISA-independent post-silicon validation for the address translation mechanisms of modern microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Alain Bravaix, M. Saliva, Florian Cacho, X. Federspiel, Cheikh Ndiaye, Souhir Mhira, Edith Kussener, E. Pauly, Vincent Huard Hot-carrier and BTI damage distinction for high performance digital application in 28nm FDSOI and 28nm LP CMOS nodes. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Anzhela Yu. Matrosova, Sergey Ostanin, Irina Kirienko, Ekaterina Nikolaeva A fault-tolerant sequential circuit design for SAFs and PDFs soft errors. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Marko S. Andjelkovic, Aleksandar Ilic, Vladimir Petrovic, Miljana Nenadovic, Zoran Stamenkovic, Goran S. Ristic SET response of a SEL protection switch for 130 and 250 nm CMOS technologies. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Sujay Pandey, Suvadeep Banerjee, Abhijit Chatterjee Concurrent error detection and tolerance in Kalman filters using encoded state and statistical covariance checks. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Filippos Filippou, Georgios Keramidas, Michail Mavropoulos, Dimitris Nikolos Recovery of performance degradation in defective branch target buffers. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44K. Chibani, Michele Portolan, Régis Leveugle Evaluating application-aware soft error effects in digital circuits without fault injections or probabilistic computations. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Stefano Esposito, Massimo Violante, Marco Sozzi, Marco Terrone, Massimo Traversone Online time interference detection in mixed-criticality applications on multicore architectures using performance counters. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Shoba Gopalakrishnan, Virendra Singh REMO: Redundant execution with minimum area, power, performance overhead fault tolerant architecture. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Luca Sterpone, Gianpiero Cabodi, Sebastiano F. Finocchiaro, Carmelo Loiacono, Francesco Savarese, Boyang Du Scalable FPGA graph model to detect routing faults. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Masaru Oya, Masao Yanagisawa, Nozomu Togawa Redesign for untrusted gate-level netlists. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Theodor Hillebrand, Maike Taddiken, Konstantin Tscherkaschin, Steffen Paul, Dagmar Peters-Drolshagen Online monitoring of NBTI and HCD in beta-multiplier circuits. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Mauricio D. Gutierrez, Vasileios Tenentes, Tom J. Kazmierski Susceptible workload driven selective fault tolerance using a probabilistic fault model. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Mohammad Saleh Samimi, Ehsan Aerabi, Zahra Kazemi, Mahdi Fazeli, Ahmad Patooghy Hardware enlightening: No where to hide your Hardware Trojans! Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Alessandro Savino, Stefano Di Carlo, Alessandro Vallero, Gianfranco Politano, Dimitris Gizopoulos, Adrian Evans RIIF-2: Toward the next generation reliability information interchange format. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Lake Bu, Mark G. Karpovsky A hybrid self-diagnosis mechanism with defective nodes locating and attack detection for parallel computing systems. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Hans-Joachim Wunderlich, Claus Braun, Alexander Schöll Pushing the limits: How fault tolerance extends the scope of approximate computing. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1100 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license