The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Intel with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (16) 1981-1982 (17) 1983-1985 (15) 1986-1988 (29) 1989 (16) 1990 (27) 1991 (15) 1992 (20) 1993 (21) 1994 (41) 1995 (47) 1996 (69) 1997 (64) 1998 (21) 1999 (43) 2000 (58) 2001 (57) 2002 (69) 2003 (116) 2004 (173) 2005 (137) 2006 (164) 2007 (170) 2008 (179) 2009 (174) 2010 (58) 2011 (55) 2012 (64) 2013 (85) 2014 (86) 2015 (131) 2016 (95) 2017 (177) 2018 (150) 2019 (137) 2020 (128) 2021 (95) 2022 (101) 2023 (92) 2024 (19)
Publication types (Num. hits)
article(846) book(4) data(3) incollection(17) inproceedings(2350) phdthesis(10) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(176) IPDPS(73) SC(56) IEEE Micro(43) IEEE Trans. Parallel Distribut...(36) DAC(34) IPDPS Workshops(31) IT Innovation for Adaptability...(31) Euro-Par(29) Computer(27) CLUSTER(26) ICPP(26) Hot Chips Symposium(25) IPPS(25) ICS(24) ITC(24) More (+10 of total 950)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2160 occurrences of 1221 keywords

Results
Found 3233 publication records. Showing 3231 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Lal George, Matthias Blume Taming the IXP network processor. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Intel IXA, bank assignment, programming languages, code generation, register allocation, integer linear programming, network processors
16Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux, Intel
16Nasser Elmasri, Herbert H. J. Hum, Guang R. Gao The Threaded Communication Library: Preliminary Experiences on a Multiprocessor with Dual-Processor Nodes. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Tcl, Intel i860
16Eileen Tien Lin, Edward Omiecinski, Sudhakar Yalamanchili Large Join Optimization on a Hypercube Multiprocessor. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF large join optimization, parallel large join plan, initial solution, iterative local-improvement method, Intel iPSC/2 hypercube machine, performance, computational complexity, parallel programming, query processing, relational database, heuristics, simulated annealing, optimisation, iterative methods, hypercube networks, relational algebra, heuristic programming, NP-hard problem, hypercube multiprocessor, problem complexity, inherent parallelism, large join queries
16Philip Heidelberger, David M. Nicol Conservative Parallel Simulation of Continuous Time Markov Chains Using Uniformization. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF queueing networkmodels, conservative parallelsimulation algorithm, Intel iPSC/2 hypercube, Markovprocesses, parallel algorithms, parallel algorithms, discrete event simulation, queueing theory, communications systems, distributed computing systems, uniformization, continuous time Markov chains
16David H. Bailey RISC microprocessors and scientific computing. Search on Bibsonomy SC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF RISC, Intel i860
16François Bodin, Peter H. Beckman, Dennis Gannon, Shelby X. Yang, S. Kesavan, Allen D. Malony, Bernd Mohr Implementing a parallel C++ runtime system for scalable parallel systems. Search on Bibsonomy SC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C++, Intel i860
16Hong Q. Ding Monte Carlo simulations of Quantum systems on massively parallel computers. Search on Bibsonomy SC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Intel i860
16A. M. Finn, M. F. Griffin, W. C. McClurg Modeling and simulation of an i860-based multiprocessor. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Intel i860
16Prithviraj Banerjee, Joseph T. Rahmeh, Craig B. Stunkel, V. S. S. Nair, Kaushik Roy 0001, Vijay Balasubramanian, Jacob A. Abraham Algorithm-Based Fault Tolerance on a Hypercube Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Intel iPSC hypercube, fault tolerance, parallel architectures, fault tolerant computing, fast Fourier transform, multiprocessing systems, error detection, matrix multiplication, Gaussian elimination, multiprocessor architecture, hypercube multiprocessor, faulty processors
16Brian R. Nickerson Graph Coloring Register Allocation for Processors with Multi-Register Operands. Search on Bibsonomy PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Intel 80960
16Mark A. Yoder, Leah H. Jamieson Simulation of a Word Recognition System on Two Parallel Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF word recognition system, VLSI processor array, 8-MHz MC68000, 12-MHz Intel 8051, simulations, parallel algorithms, parallel algorithms, parallel architectures, parallel architectures, speech recognition, SIMD, digital simulation
16Thomas L. Adams, Richard E. Zimmerman An Analysis of 8086 Instruction Set Usage in MS DOS Programs. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Intel 8086, MS-DOS
16Takashi Nanya, Toshiaki Kawamura Error/Secure/Propagating Concept and its Application to the Design of Strongly Fault-Secure Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF error-secure interfaces, totally self-checking systems, Intel 8080, strongly fault-secure processors, error-propagating interfaces, fault tolerant computing, computer architecture, automatic testing, microprocessor chips, digital system, computer interfaces, 8 bit
16Goh Wee Leng An Expert Autonomous Vacuum Cleaner Robot. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Intel 8086, Prolog
13Shan Shan Huang, Todd Jeffrey Green, Boon Thau Loo Datalog and emerging applications: an interactive tutorial. Search on Bibsonomy SIGMOD Conference The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Ramesh Illikkal, Vineet Chadha, Andrew Herdrich, Ravi R. Iyer 0001, Donald Newell PIRATE: QoS and performance management in CMP architectures. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Byung-Gon Chun, Gianluca Iannaccone, Giuseppe Iannaccone, Randy H. Katz, Gunho Lee, Luca Niccolini An energy case for hybrid datacenters. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Alexander Heinecke, Carsten Trinitis, Josef Weidendorfer Porting existing cache-oblivious linear algebra HPC modules to larrabee architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF accelerator space-filling curve, openmp, matrix multiplication, cache-oblivious, lu decomposition, manycore
13Surendra Byna, Jiayuan Meng, Anand Raghunathan, Srimat T. Chakradhar, Srihari Cadambi Best-effort semantic document search on GPUs. Search on Bibsonomy GPGPU The full citation details ... 2010 DBLP  DOI  BibTeX  RDF best-effort computing, dependency relaxation, supervised semantic indexing, GPGPU, CUDA, document search
13Sung-Boem Park, Anne Bracy, Hong Wang 0003, Subhasish Mitra BLoG: post-silicon bug localization in processors using bug localization graphs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IFRA, BLoG, silicon debug, post-silicon validation
13Gadi Singer, Rajesh Galivanche, Srinivas Patil, Mike Tripp The Challenges of Nanotechnology and Gigacomplexity. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Asim Kadav, Michael M. Swift Live migration of direct-access devices. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Stanislav Bratanov, Roman Belenov, Nikita Manovich Virtual machines: a whole new world for performance analysis. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Nikola Knezevic, Simon Schubert, Dejan Kostic Towards a cost-effective networking testbed. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Micah Dowty, Jeremy Sugerman GPU virtualization on VMware's hosted I/O architecture. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Michael Brown, Cyrus Bazeghi, Matthew R. Guthaus, Jose Renau Measuring and modeling variabilityusing low-cost FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variability
13Rui Yang 0005, Jie Cai, Alistair P. Rendell, V. Ganesh Use of Cluster OpenMP with the Gaussian Quantum Chemistry Code: A Preliminary Performance Analysis. Search on Bibsonomy IWOMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cluster OpenMP, quantum chemistry code, performance
13Pavan Yalamanchili, Sumod K. Mohan, Tarek M. Taha Implementing a hierarchical Bayesian visual cortex model on multi-core processors. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, measurement, experimentation
13Darshan Desai, Gerolf Hoflehner, Arun Kejariwal, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum, Cameron McNairy Performance Characterization of Itanium® 2-Based Montecito Processor. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Hikmet Dursun, Ken-ichi Nomura, Liu Peng, Richard Seymour, Weiqiang Wang, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta A Multilevel Parallelization Framework for High-Order Stencil Computations. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF single instruction multiple data parallelism, spatial decomposition, message passing, multithreading, Stencil computation
13Arzhan Kinzhalin, Rodolfo Kohn, David N. Lombard, Ricardo Morin Enabling the autonomic data center with a smart bare-metal server platform. Search on Bibsonomy ICAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asset inventory, autonomic data center, bare metal, manageability standards, pre-boot environment, discovery, provisioning
13Gregory M. Striemer, Ali Akoglu Sequence alignment with GPU: Performance and design challenges. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Yoshiyuki Yamashita, Masato Tsuru Implementing Fast Packet Filters by Software Pipelining on x86 Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Georgios Tournavitis, Zheng Wang 0001, Björn Franke, Michael F. P. O'Boyle Towards a holistic approach to auto-parallelization: integrating profile-driven parallelism detection and machine-learning based mapping. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF auto-parallelization, machine-learning based parallelism mapping, profile-driven parallelism detection, openmp
13Alexandru Nicolau, Guangqiang Li, Alexander V. Veidenbaum, Arun Kejariwal Synchronization optimizations for efficient execution on multi-cores. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compilers, synchronization, multithreading, code motion
13Vipin Sachdeva, Douglas M. Freimuth, Chris Mueller Evaluating the Jaccard-Tanimoto Index on Multi-core Architectures. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13John W. O'Leary, Bratin Saha, Mark R. Tuttle Model Checking Transactional Memory with Spin. Search on Bibsonomy ICDCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Jean-Luc Beuchat, Emmanuel López-Trejo, Luis Martínez-Ramos, Shigeo Mitsunari, Francisco Rodríguez-Henríquez Multi-core Implementation of the Tate Pairing over Supersingular Elliptic Curves. Search on Bibsonomy CANS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF supersingular curve, multi-core, Tate pairing, finite field arithmetic, ? T pairing
13Anna Inn-Tung Chen, Ming-Shing Chen, Tien-Ren Chen, Chen-Mou Cheng, Jintai Ding, Eric Li-Hsiang Kuo, Frost Yu-Shuang Lee, Bo-Yin Yang SSE Implementation of Multivariate PKCs on Modern x86 CPUs. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multivariate public key cryptosystem (MPKC), ?IC, vector instructions, SSSE3, Wiedemann, TTS, rainbow, SSE2
13Aleksandar Dragojevic, Yang Ni, Ali-Reza Adl-Tabatabai Optimizing transactions for captured memory. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software transactional memory, runtime optimizations
13Markus Püschel Automatic synthesis of high performance mathematical programs. Search on Bibsonomy ISSAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelization, domain-specific language, automation, vectorization, high performance, fourier transform, matrix algebra, rewriting, program generation
13Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev, Stamatis Vassiliadis Test Set Development for Cache Memory in Modern Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Fadi N. Sibai Evaluating the performance of single and multiple core processors with PCMARK®05 and benchmark analysis. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance benchmark, single and dual core processors, workload characterization
13Wessam Hassanein, Layali K. Rashid, Moustafa A. Hammad Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hyper-threaded architectures, Performance, Databases, Simultaneous multithreading, Data management systems
13Sachin S. Sapatnekar Adapting to the times [review of Adaptive Techniques for Dynamic Processor Optimization: Theory and Practice (Wang, A. and Naffziger, S., Eds.; 2008)]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Stéphane Zuckerman, Marc Pérache, William Jalby Fine Tuning Matrix Multiplications on Multicore. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multicore, cache coherency, BLAS
13Juan Carlos Pichel, David E. Singh, Jesús Carretero 0001 Reordering Algorithms for Increasing Locality on Multicore Processors. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13N. Fujimoto Faster matrix-vector multiplication on GeForce 8800GTX. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Samuel Williams 0001, Jonathan Carter, Leonid Oliker, John Shalf, Katherine A. Yelick Lattice Boltzmann simulation optimization on leading multicore platforms. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Junho Ahn, Jung-Hi Min, Hojung Cha, Rhan Ha A Power Management mechanism for Handheld Systems having a Multimedia Accelerator. Search on Bibsonomy PerCom The full citation details ... 2008 DBLP  DOI  BibTeX  RDF handheld systems, multimedia accelerator, power management, CPU
13Amith R. Mamidala, Rahul Kumar, Debraj De, Dhabaleswar K. Panda 0001 MPI Collectives on Modern Multicore Clusters: Performance Optimizations and Communication Characteristics. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPI Collectives, Multicore
13Daria Loi Of participation in industry: a hybridized possibility? Search on Bibsonomy PDC The full citation details ... 2008 DBLP  BibTeX  RDF hybrid participatory tools, user experience, case study
13Anna Slobodová Formal Verification of Hardware Support for Advanced Encryption Standard. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jia Zou 0001, Zhiyong Liang, Yiqi Dai Scalability Evaluation and Optimization of Multi-Core SIP Proxy Server. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Arun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos Cache-aware iteration space partitioning. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF load balancing, caches
13Haipeng Cheng, Zheng Chen, Bei Hua, Xinan Tang Scalable packet classification using interpreting: a cross-platform multi-core solution. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, multithreading, network processor, packet classification, thread-level parallelism, embedded system design
13Fariaz Karim A peer-to-peer approach to providing QoS monitoring for web service activities. Search on Bibsonomy Middleware (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QoS, web service, middleware, P2P
13Stuart A. Golden, Steve S. Bateman Sensor Measurements for Wi-Fi Location with Emphasis on Time-of-Arrival Ranging. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WLAN, GPS, indoor location, time of arrival
13Wei Zhong, Gulsah Altun, Xinmin Tian, Robert W. Harrison, Phang C. Tai, Yi Pan 0001 Parallel protein secondary structure prediction schemes using Pthread and OpenMP over hyper-threading technology. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DBNN (Denoeux Belief Neural Network), MPI (Message Passing Interface), Hyper-threading, BLOSUM62 matrix, Hydrophobicity matrix, PSSM (Position Specific Scoring Matrix), Neural networks, Parallel architecture, OpenMP, Speedup, Pthread, Protein secondary structure prediction
13Qiang Zhu 0008, Aviral Shrivastava, Nikil D. Dutt Interactive presentation: Functional and timing validation of partially bypassed processor pipelines. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Md. Mafijul Islam On the Limitations of Compilers to Exploit Thread-Level Parallelism in Embedded Applications. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Amit Kumar 0008, Ram Huggahalli Impact of Cache Coherence Protocols on the Processing of Network Traffic. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Steve Pawlowski Petascale Computing Research Challenges - A Manycore Perspective. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Larry Meadows OpenMP 3.0 - A Preview of the Upcoming Standard. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Chun Chen 0002, Jaewook Shin, Shiva Kintali, Jacqueline Chame, Mary W. Hall Model-Guided Empirical Optimization for Multimedia Extension Architectures: A Case Study. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Michael Bader, Robert Franz, Stephan Günther, Alexander Heinecke Hardware-Oriented Implementation of Cache Oblivious Matrix Operations Based on Space-Filling Curves. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13T. K. S. Lakshmi Priya, V. Hari Prasad, D. Kannan, L. Karthik Singaram, G. Madhan, R. Meenakshi Sundaram, R. M. Prasad, Ranjani Parthasarathi Evaluating the Network Processor Architecture for Application-Awareness. Search on Bibsonomy COMSWARE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau Retargetable pipeline hazard detection for partially bypassed processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Erik A. Hendriks, Ronald G. Minnich How to build a fast and reliable 1024 node cluster with only one disk. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Batya Friedman, Ian E. Smith, Peter H. Kahn Jr., Sunny Consolvo, Jaina Selawski Development of a Privacy Addendum for Open Source Licenses: Value Sensitive Design in Industry. Search on Bibsonomy UbiComp The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sanghyun Park, Eugene Earlie, Aviral Shrivastava, Alex Nicolau, Nikil D. Dutt, Yunheung Paek Automatic generation of operation tables for fast exploration of bypasses in embedded processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sanjay Rungta, Alex Rentzis, Jeff Sedayao, Robert Adams, Paul Brett Monitoring Internet Connectivity using PlanetLab. Search on Bibsonomy NOMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ken Kennedy Software Challenges for Multicore Computing. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jack Liu, Youfeng Wu Performance Characterization of the 64-bit x86 Architecture from Compiler Optimizations' Perspective. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Moti Klein, Adar Kalir A full factory transient simulation model for the analysis of expected performance in a transition period. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Subhash Saini, Robert Ciotti, Brian T. N. Gunney, Thomas E. Spelce, Alice E. Koniges, Don Dossa, Panagiotis A. Adamidis, Rolf Rabenseifner, Sunil R. Tiyyagura, Matthias S. Müller, Rod Fatoohi Performance evaluation of supercomputers using HPCC and IMB benchmarks. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Anna Slobodová Challenges for Formal Verification in Industrial Setting. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Pawel Gepner, Michal Filip Kowalik Multi-Core Processors: New Way to Achieve High System Performance. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Damian Dechev, Peter Pirkelbauer, Bjarne Stroustrup Lock-Free Dynamically Resizable Arrays. Search on Bibsonomy OPODIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real-time systems, concurrency, C++, vector, lock-free, STL
13Wessam Hassanein, Moustafa A. Hammad, Layali K. Rashid Characterizing the Performance of Data Management Systems on Hyper-Threaded Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Chester Rebeiro, A. David Selvakumar, A. S. L. Devi Bitslice Implementation of AES. Search on Bibsonomy CANS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Leendert van Doorn Hardware virtualization trends. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Bob Ward Computer Society Connection. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF membership news, IEEE Computer Society
13Ben Wun, Jeremy Buhler, Patrick Crowley Exploiting Coarse-Grained Parallelism to Accelerate Protein Motif Finding with a Network Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13S. Govind, R. Govindarajan Performance Modeling and Architecture Exploration of Network Processors. Search on Bibsonomy QEST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Alexandros Stamatakis, Michael Ott 0001, Thomas Ludwig 0002 RAxML-OMP: An Efficient Program for Phylogenetic Inference on SMPs. Search on Bibsonomy PaCT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Franz Franchetti, Yevgen Voronenko, Markus Püschel Formal loop merging for signal transforms. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF linear signal transform, domain-specific language, DFT, discrete Fourier transform, loop optimization, automatic performance tuning
13Thomas H. Dunigan, Jeffrey S. Vetter, Patrick H. Worley Performance Evaluation of the SGI Altix 3700. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Meng-Shiou Wu, Ricky A. Kendall, Kyle Wright Optimizing Collective Communications on SMP Clusters. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Leonid Oliker, Jonathan Carter, Michael F. Wehner, Andrew Canning, Stéphane Ethier, Arthur A. Mirin, David Parks, Patrick H. Worley, Shigemune Kitawaki, Yoshinori Tsuda Leading Computational Methods on Scalar and Vector HEC Platforms. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Long Li, Bo Huang 0002, Jinquan Dai, Luddy Harrison Automatic multithreading and multiprocessing of C programs for IXP. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF network processor, multi-threading, critical section, code motion, multi-processing
13Ron Brightwell, Trammell Hudson, Kevin T. Pedretti, Rolf Riesen, Keith D. Underwood Implementation and Performance of Portals 3.3 on the Cray XT3. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13G. Alan Creak Parsing by numbers and asparagus. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13T. M. Mak, Mike Tripp, Anne Meixner Testing Gbps Interfaces without a Gigahertz Tester. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Sebastian Winkel Exploring the Performance Potential of Itanium® Processors with ILP-based Scheduling. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Stefan Kral, Franz Franchetti, Juergen Lorenz, Christoph W. Ueberhuber, Peter Wurzinger FFT Compiler Techniques. Search on Bibsonomy CC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Rong Xu, Zhiyuan Li 0001 Using cache mapping to improve memory performance handheld devices. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Jesse Zhixi Fang A Generation Ahead of Microprocessor: Where Software Can Drive uArchitecture To? Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Justin J. Song, Eric Q. Li, Wei Hu 0002, Steven Ge, Chunrong Lai, Yimin Zhang 0002, Xuegong Zhang, Wenguang Chen, Weimin Zheng Parallelization of Bayesian Network based SNPs Pattern Analysis and Performance Characterization on SMP/HT. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 3231 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license