The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for MOS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1971 (16) 1972-1975 (18) 1976-1979 (20) 1980-1982 (23) 1983 (16) 1984 (32) 1985 (26) 1986 (26) 1987 (27) 1988 (40) 1989 (37) 1990 (36) 1991 (33) 1992 (17) 1993 (20) 1994 (46) 1995 (34) 1996 (45) 1997 (15) 1998 (38) 1999 (56) 2000 (53) 2001 (60) 2002 (73) 2003 (69) 2004 (83) 2005 (100) 2006 (129) 2007 (106) 2008 (111) 2009 (71) 2010 (41) 2011 (48) 2012 (42) 2013 (58) 2014 (29) 2015 (50) 2016 (50) 2017 (43) 2018 (49) 2019 (44) 2020 (43) 2021 (35) 2022 (53) 2023 (56) 2024 (12)
Publication types (Num. hits)
article(812) incollection(3) inproceedings(1273) phdthesis(39) proceedings(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 592 occurrences of 418 keywords

Results
Found 2140 publication records. Showing 2129 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Nate Kushman, Srikanth Kandula, Dina Katabi Can you hear me now?!: it must be BGP. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF burst losses, VoIP, BGP, MOS, PESQ
17Kay Suenaga, Rodrigo Picos, Sebastià A. Bota, Miquel Roca 0001, Eugeni Isern 0001, Eugenio García A Module for BiST of CMOS RF Receivers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RF-IC, Test, Built-in-self-test, MOS, Mixers
17Amjad M. Daoud Perfect hash functions for large dictionaries. Search on Bibsonomy CIMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF indexing, random graphs, MOS, perfect hashing, acyclic
17Jagadeesh Balam, Jerry D. Gibson Multiple descriptions and path diversity using the AMR-WB speech codec for voice communication over MANETs. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AMR-WB, WPESQ, voice quality indicator, mobile ad-hoc networks, 802.11, MOS, voice communications, path diversity, multiple descriptions
17Johnny Matta, Christine Pépin, Khosrow Lashkari, Ravi Jain A source and channel rate adaptation algorithm for AMR in VoIP using the Emodel. Search on Bibsonomy NOSSDAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF emodel, QoS, VoIP, forward error correction, MOS, AMR
17Jose Joskowicz, José Carlos López-Ardao Enhancements to the opinion model for video-telephony applications. Search on Bibsonomy LANC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VoIP network design, video perceptual quality, video signal processing, video codecs
17Cosmin Popa Improved Accuracy Pseudo-Exponential Function Generator With Applications in Analog Signal Processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jörgen Gustafsson, Gunnar Heikkilä, Martin Pettersson Measuring multimedia quality in mobile networks with an objective parametric model. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shayma Alkobaisi, Petr Vojtechovský, Wan D. Bae, Seon Ho Kim, Scott T. Leutenegger The Truncated Tornado in TMBB: A Spatiotemporal Uncertainty Model for Moving Objects. Search on Bibsonomy DEXA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jens Berger, Arpad Hellenbart, Benjamin Weiss 0001, Sebastian Möller 0001, Jörgen Gustafsson, Gunnar Heikkilä Estimation of 'quality per call' in modelled telephone conversations. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17John Fitzpatrick, Seán Murphy, Mohammed Atiquzzaman, John Murphy 0001 ECHO: A Quality of Service Based Endpoint Centric Handover Scheme for VoIP. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Antonio LaTorre, José María Peña Sánchez, Víctor Robles, Santiago Muelas Using multiple offspring sampling to guide genetic algorithms to solve permutation problems. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid evolutionary methods, multiple offspring sampling, genetic algorithms, traveling salesman problem, permutation problems
17Naeem Khalid, Hafiz Farooq Ahmad, Hiroki Suguri Software Agents Mediated Interoperability among Heterogeneous Semantic Services. Search on Bibsonomy IAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Melanie Grah, Peter J. Radcliffe Dynamic QoS and Network Control for Commercial VoIP Systems in Future Heterogeneous Networks. Search on Bibsonomy ISM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Antonio Jesús Torralba Silgado, Juan Antonio Gómez Galán, Clara Isabel Luján-Martínez, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín Comparison of programmable linear resistors based on quasi-floating gate MOSFETs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hongwu Yang, Weitong Guo, Qingqing Liang A Speaking Rate Adjustable Digital Speech Repeater for Listening Comprehension in Second-Language Learning. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Andrea Calimera, Enrico Macii, Massimo Poncino, R. Iris Bahar Temperature-insensitive synthesis using multi-vt libraries. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threshold voltage, temperature-aware, logic synthesis
17Wonsuk Choi, Dongwoo Lee, Jongwhoa Na Applicability of No-Hands Computer Input Devices for the Certificates for Microsoft Office Software. Search on Bibsonomy ICCHP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF no-hands mouse, employment problem, benchmark
17Dong-Shong Liang, Kwang-Jow Gan New D-Type Flip-Flop Design Using Negative Differential Resistance Circuits. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF negative differential resistance(NDR), monostable-bistable transition logic elements(MOBILE)
17Jyotirmoy Ghosh, Siddhartha Mukhopadhyay, Amit Patra, Barry Culpepper, Tawen Mei A New Approach for Estimation of On-Resistance and Current Distribution in Power Array Layouts. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kostas Pentikousis, Esa Piri, Jarno Pinola, Frerk Fitzek, Tuomas Nissilä, Ilkka Harjula Empirical evaluation of VoIP aggregation over a fixed WiMAX testbed. Search on Bibsonomy TRIDENTCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF G.723.1, VoIP aggregation, WiMAX testbeds, VoIP, WiMAX, network measurements, IEEE 802.16
17Jay B. Brockman, Sheng Li 0007, Peter M. Kogge, Amit Kashyap, Mohammad M. Mojarradi Design of a mask-programmable memory/multiplier array using G4-FET technology. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF G4-FET, gate array
17Fabrice Guigues, Edith Kussener, Benjamin Duval, Hervé Barthélemy Moderate Inversion: Highlights for Low Voltage Design. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Cosmin Popa Low-Voltage Low-Power Curvature-Corrected Voltage Reference Circuit Using DTMOSTs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tao Wan, Karine Zeitouni, Xiaofeng Meng 0001 An OLAP system for network-constrained moving objects. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF spatiotemporal indexing, query optimization, OLAP, moving object databases, aggregate queries
17Paul Kucher, Shantanu Chakrabartty An Energy-Scalable Margin Propagation-Based Analog VLSI Support Vector Machine. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Asad Awan, Suresh Jagannathan, Ananth Grama Macroprogramming heterogeneous sensor networks using cosmos. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor networks, heterogeneous networks, dataflow, macroprogramming
17Jaijeet S. Roychowdhury, Robert C. Melville Delivering global DC convergence for large mixed-signal circuits via homotopy/continuation methods. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yousuke Hashimoto, Yutaka Ishibashi Influences of network latency on interactivity in networked rock-paper-scissors. Search on Bibsonomy NETGAMES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rock-paper-scissors, interactivity, video, voice, network latency
17Shoaib Khan, Svetoslav Duhovnikov, Eckehard G. Steinbach, Marco Sgroi, Wolfgang Kellerer Application-driven cross-layer optimization for mobile multimedia communication using a common application layer quality metric. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-user resource allocation, wireless multimedia communication, mean opinion score, cross-layer optimization
17Chune Zhang, Zhengding Qiu, Dongmei Sun, Jie Wu 0010 Euclidean Quality Assessment for Binary Images. Search on Bibsonomy ICPR (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sanghwa Yuh, Jungyun Seo Multilingual Machine Translation of Closed Captions for Digital Television with Dynamic Dictionary Adaptation. Search on Bibsonomy ICCPOL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multilingual Machine Translation, Dynamic Dictionary Adaptation, Named Entity Alignment, Dynamic Domain Identification, Closed Caption
17Z. Wang, Hüseyin Serif Savci, Numan Sadi Dogan 1-V ultra-low-power CMOS LC VCO for UHF quadrature signal generation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hamid Charkhkar, Alireza Asadi, Reza Lotfi A 1.8V, 10-bit, 40MS/s MOSFET-only pipeline analog-to-digital converter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shantanu Chakrabartty CMOS analog iterative decoders using margin propagation circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Trung-Kien Nguyen, Sang-Gug Lee 0001 Low-voltage, low-power CMOS operation transconductance amplifier with rail-to-rail differential input range. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Baris Koc, Adil Koukab, Günhan Dündar Phase noise in bipolar and CMOS VCO's - an analytical comparison. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chunyan Wang 0004 Implementation of space-efficient voltage-insensitive capacitances in integrated circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17F. C. Castaldo, C. A. Reis Filho Transversal noise current in split-drain transistors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17José Luis Rosselló, Sebastià A. Bota, Vicent Canals, Ivan de Paúl, Jaume Segura 0001 A Fully CMOS Low-Cost Chaotic Neural Network. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Dimiter Alexandrov, Rozalina Dimitrrova, K. Scott Butcher, Marie Wintrebert-Fouquet, Richard Perks Field Effect Transistor on Hetero-Structure GaN/InxGa1-xN. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Dong-Shong Liang, Cheng-Chi Tai, Kwang-Jow Gan, Cher-Shiung Tsai, Yaw-Hwang Chen Design of AND and NAND Logic Gate Using NDR-BASED Circuit Suitable for CMOS Process. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yuhua Cheng, Xiaobo Wu, Xiaolang Yan Translinear Loop Principle and Identification of the Translinear Loops. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wang-Chi Cheng, Cheong-Fat Chan, Kong-Pang Pun, Oliver Chiu-sing Choy 0.8 V GPS band CMOS VCO with 29% Tuning Range. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kun-Yi Lin, Ro-Min Weng A Low-power Tunable Bandpass Amplifier for RF Applications. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wang-Chi Cheng, Cheong-Fat Chan, Kong-Pang Pun, Oliver Chiu-sing Choy Sub-1 V Current Mode CMOS Integrated Receiver Front-end for GPS System. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Toshiyuki Yoshida, Takamichi Miyata Adaptive frame interval control and its quality estimation. Search on Bibsonomy ICIP (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Lin Jia, Jianguo Ma, Kiat Seng Yeo, Manh Anh Do A novel methodology for the design of LC tank VCO with low phase noise. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Lu Liu, Zhihua Wang 0001, Guolin Li Calculation of intermodulation distortion in CMOS transconductance stage. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mohammad M. Ahmadi, Graham A. Jullien A new CMOS charge pump for low voltage applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Amir Fijany, Farrokh Vatan, Mohammad M. Mojarradi, Nikzad Benny Toomarian, Benjamin J. Blalock, Kerem Akarvardar, Sorin Cristoloveanu, Pierre Gentil The G4-FET: a universal and programmable logic gate. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF G4-FET, programmable gate, universal logic gate, full adder
17Walid Elgharbawy, Magdy A. Bayoumi New Bulk Dynamic Threshold NMOS Schemes for Low-Energy Subthreshold Domino-Like Circui. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ferdinando Bedeschi, Edoardo Bonizzoni, Andrea Fantini, Claudio Resta, Guido Torelli A low-power low-voltage MOSFET-only voltage reference. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Esther Rodríguez-Villegas, Alberto Yufera, Adoración Rueda A Charge Correction Cell for FGMOS-Based Circuits. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FGMOS analog circuits, Floating gate charge correction
17D. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel SOI Transistor Model for Fast Transient Simulation. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal, Chad Lackey Low-voltage closed-loop amplifier circuits based on quasi-floating gate transistors. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Antonio Buonomo, Alessandro Lo Schiavo Determining the oscillation of differential VCOs. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Nabil J. Sarhan, Chita R. Das An Integrated Resource Sharing Policy for Multimedia Storage Servers Based on Network-Attached Disks. Search on Bibsonomy ICDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Rafik S. Guindi, Farid N. Najm Design Techniques for Gate-Leakage Reduction in CMOS Circuits. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17João P. B. Botelho, Leonardo Bruno de Sá, Pedro F. Vieira, Antonio Carneiro de Mesquita Filho An Experiment on Nonlinear Synthesis Using Evolutionary Techniques Based only on CMOS Transistors. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Xiaofang Gao, Juin J. Liou, Joe Bernier, Gregg D. Croft, Adelmo Ortiz-Conde Implementation of a comprehensive and robust MOSFET model in cadence SPICE for ESD applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Darius Jakonis, Christer Svensson A 1 GHz linearized CMOS track-and-hold circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Yigang He, Jinguang Jiang, Yichuang Sun CMOS R-MOSFET-C fourth-order Bessel filter with accurate group delay. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Reid R. Harrison A wide-linear-range subthreshold CMOS transconductor employing the back-gate effect. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Esther Rodríguez-Villegas, Adoración Rueda, Alberto Yufera A micropower log domain FGMOS filter. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Adrian M. Ionescu, V. Pott, R. Fritschi, Kaustav Banerjee, Michel J. Declercq, Philippe Renaud, C. Hibert, Philippe Flückiger, G. A. Racine Modeling and Design of a Low-Voltage SOI Suspended-Gate MOSFET (SG-MOSFET) with a Metal-over-Gate Architecture. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Pradip Mandal, V. Visvanathan CMOS op-amp sizing using a geometric programming formulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17A. B. M. Harun-ur Rashid, Mazuhidul Karim, Syed Mahfuzul Aziz Testing complementary pass-transistor logic circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Bertram Emil Shi CNN models of current mode neuromorphic networks. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Kazuo Aoyama, Hiroshi Sawada, Akira Nagoya, Kazuo Nakajima A Threshold Logic-Based Reconfigurable Logic Element with a New Programming Technology. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Makoto Syuto, Jing Shen, Koichi Tanno, Okihiko Ishizuka Multi-Input Variable-Threshold Circuits for Multi-Valued Logic Functions. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Shunichi Kaeriyama, Takahiro Hanyu, Michitaka Kameyama Arithmetic-Oriented Multiple-Valued Logic-in-Memory VLSI Based on Current-Mode Logic. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Hendrawan Soeleman, Kaushik Roy 0001, Bipul Chandra Paul Robust ultra-low power sub-threshold DTMOS logic. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Carl James Debono, Franco Maloberti, Joseph Micallef A low-voltage CMOS multiplier for RF applications (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS, low-voltage, RF, analog multiplier
17Thomas Enderes, Swee Chern Khoo, Clare A. Somerville, Kostas Samaras Impact of statistical multiplexing on voice quality in cellular networks. Search on Bibsonomy MSWiM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TDMA
17Kamran Eshraghian Deep Submicron USLI Design Paradigm: Who is Writing the Future? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Feng Zhou, Zhijun Huang, Jiarong Tong, Pushan Tang An Analytical Delay Model for SRAM-Based FPGA Interconnections. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Soliman A. Mahmoud, Ahmed M. Soliman The current-feedback differential difference amplifier: new CMOS realization with rail to rail class-AB output stage. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Paul E. Hasler, Bradley A. Minch, Chris Diorio Adaptive Circuits Using pFET Floating-Gate Devices. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Richard Plasun, Michael Stockinger, Siegfried Selberherr Integrated optimization capabilities in the VISTA technology CAD framework. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Kohei Iseda, Takafumi Chujo, T. Suzuki CORBA-based network operation system architecture. Search on Bibsonomy NOMS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17S. M. Aziz, Joarder Kamruzzaman Fault Characterization of Low Capacitance Full-Swing BiCMOS Logic Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Srinivasan Venkatraman, Srikanth Natarajan, K. Radhakrishna Rao A Low Power Video Frequency Continuous Time Filter. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Abhijit Dharchoudhury, Sung-Mo Kang, Hungse Cha, Janak H. Patel Fast timing simulation of transient faults in digital circuits. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Sreejit Chakravarty, Xin He, S. S. Ravi Minimum area layout of series-parallel transistor networks is NP-hard. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Todd Heywood, Arif Ghafoor, Jim K. Chan Deterministic simulation of PRAMs on hypercube networks without look-up tables. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Shiuh-Wuu Lee Universality of mobility-gate field characteristics of electrons in the inversion charge layer and its application in MOSFET modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Janusz A. Brzozowski, Carl-Johan H. Seger A unified framework for race analysis of asynchronous networks. Search on Bibsonomy J. ACM The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Shiuh-Wuu Lee, Robert C. Rennick A compact IGFET model-ASIM. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Laxmi N. Bhuyan Introduction to session R2 (session overiew): advanced computer architectures. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
17Anthony F. Hutchings, Richard J. Bonneau, William M. Fisher Integrated VLSI CAD systems at Digital Equipment Corporation. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
17Randal E. Bryant, Michael Dd. Schuster Performance evaluation of FMOSSIM, a concurrent switch-level fault simulator. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Greg James, Barry Silverman, Brian Silverman Visualizing a classic CPU in action: the 6502. Search on Bibsonomy SIGGRAPH Talks The full citation details ... 2010 DBLP  DOI  BibTeX  RDF MOS 6502, simulation, visualization, microprocessor, integrated circuit, computer history
16Sepideh Ghanavati, Daniel Amyot, Alberto Siena, Angelo Susi, Anna Perini Towards a Framework for Business Process Compliance. Search on Bibsonomy EDOCW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF legal modeling, N`{o}mos, business processes, Goal modeling, User Requirements Notation
16P. Balasubramaniam 0001, A. Vincent Antony Kumar Solution of matrix Riccati differential equation for nonlinear singular system using genetic programming. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AMS (MOS) Subject Classifications 49J15, 92D10
16T. P. Ma Novel electrical characterization for advanced CMOS gate dielectrics. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MOS device, gate dielectrics, electrical characterization, IETS, PASHEI
16Yukiya Miura, Jiro Kato Adaptive Fault Diagnosis of Analog Circuits by Operation-Region Model and X - Y Zoning Method. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MOS transistors, Fault diagnosis, Analog circuits, Adaptive test
16Zhaosheng Feng, David Yang Gao A nonconvex dissipative system and its applications (II). Search on Bibsonomy J. Glob. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AMS (MOS) subject classification 34C05, 34C14, 34C20, 35B40
16Zhaosheng Feng A nonconvex dissipative system and its applications (I). Search on Bibsonomy J. Glob. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AMS (MOS) Subject Classification 34C05, 34C14, 34C20, 35B40
16David L. Russell The Betti reciprocity principle and the normal boundary component control problem for linear elastic systems. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AMS-MOS Classifications 74B05, 74G10, 74G75, 93C55
Displaying result #301 - #400 of 2129 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license