The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for clocking with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1987 (16) 1988-1992 (17) 1993-1995 (35) 1996-1997 (27) 1998-1999 (36) 2000 (16) 2001 (20) 2002 (21) 2003 (33) 2004 (32) 2005 (44) 2006 (42) 2007 (42) 2008 (34) 2009 (29) 2010 (17) 2011-2012 (33) 2013-2014 (34) 2015 (22) 2016-2017 (26) 2018 (20) 2019 (16) 2020-2021 (26) 2022 (21) 2023-2024 (14)
Publication types (Num. hits)
article(213) incollection(3) inproceedings(452) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 371 occurrences of 231 keywords

Results
Found 673 publication records. Showing 673 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Sergio Ríos Aguilar Intelligent Position Aware Mobile Services for Seamless and Non-Intrusive Clocking-in. Search on Bibsonomy Int. J. Interact. Multim. Artif. Intell. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Xuan Zhang 0001, Tao Tong, David M. Brooks, Gu-Yeon Wei Evaluating Adaptive Clocking for Supply-Noise Resilience in Battery-Powered Aerial Microrobotic System-on-Chip. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Raj K. Jana, Gregory L. Snider, Debdeep Jena Energy-Efficient Clocking Based on Resonant Switching for Low-Power Computation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Douglas Tougaw A Clocking Strategy for Scalable and Fault-Tolerant QDCA Signal Distribution in Combinational and Sequential Devices. Search on Bibsonomy Field-Coupled Nanocomputing The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Rui Policarpo Duarte, Christos-Savvas Bouganis Zero-latency datapath error correction framework for over-clocking DSP applications on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Rui Policarpo Duarte, Christos-Savvas Bouganis Over-clocking of Linear Projection Designs through Device Specific Optimisations. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Davide De Caro, Michele De Martino, Nicola Petra, Antonio G. M. Strollo Analysis of Spread-Spectrum Clocking Modulations Under Synchronization Timing Constraint. Search on Bibsonomy ApplePies The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Rui Policarpo Duarte, Christos-Savvas Bouganis A Unified Framework for Over-Clocking Linear Projections on FPGAs under PVT Variation. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Robert A. Groves, Phillip J. Restle, Alan J. Drake, David Shan, Michael G. R. Thomson Optimization and modeling of resonant clocking inductors for the POWER8TM microprocessor. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18William Walker, Dennis Michael Fischette Wireline clocking and equalization. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Markus Hiienkari, Jukka Teittinen, Lauri Koskinen, Matthew J. Turnquist, Mikko Kaltiokallio A 3.15pJ/cyc 32-bit RISC CPU with timing-error prevention and adaptive clocking in 28nm CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Carlos Tokunaga, Joseph F. Ryan 0002, Charles Augustine, Jaydeep P. Kulkarni, Yi-Chun Shih, Stephen T. Kim, Rinkle Jain, Keith A. Bowman, Arijit Raychowdhury, Muhammad M. Khellah, James W. Tschanz, Vivek De 5.7 A graphics execution core in 22nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Aaron Grenat, Sanjay Pant, Ravinder Rachala, Samuel Naffziger 5.6 Adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Visvesh S. Sathe 0001 Quasi-resonant clocking: a run-time control approach for true voltage-frequency-scalability. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Kazunari Kato, Yasuhiro Takahashi, Toshikazu Sekine Two phase clocking subthreshold adiabatic logic. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Ignatius Bezzam, Shoba Krishnan A pulsed resonance clocking for energy recovery. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Mike Bichan, Dustin Dunwell, Qiwei Wang, Anthony Chan Carusone A passive resonant clocking network for distribution of a 2.5-GHz clock in a flash ADC. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Ignatius Bezzam, Shoba Krishnan Minimizing Power and Skew in VLSI-SoC Clocking with Pulsed Resonance Driven De-skewing Latches. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Kazunari Kato, Yasuhiro Takahashi, Toshikazu Sekine Skew tolerance analysis and layout design of 4×4 multiplier using two phase clocking subthreshold adiabatic logic. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Xin Fan GALS design methodology based on pausible clocking. (PDF / PS) Search on Bibsonomy 2014   RDF
18Michael Vielhaber 0001 Computation of functions on n bits by asynchronous clocking of cellular automata. Search on Bibsonomy Nat. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18R. R. Manikandan, Bharadwaj Amrutur Experimental Study on Substrate Noise Effects of a Pulsed Clocking Scheme on PLL Performance. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ramen Dutta, Eric A. M. Klumperink, Xiang Gao 0002, Zhiyu Ru, Ronan A. R. van der Zee, Bram Nauta Flip-Flops for Accurate Multiphase Clocking: Transmission Gate Versus Current Mode Logic. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ali Mesgarani, Islam T. Abougindia, Suat U. Ay A 6-bit 1.5GS/s pipelined binary search ADC with simplified clocking scheme. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Bernd Deutschmann Spread spectrum clocking for emission reduction of charge pump applications. Search on Bibsonomy EMC Compo The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Xuan Zhang 0001, Tao Tong, David M. Brooks, Gu-Yeon Wei Supply-noise resilient adaptive clocking for battery-powered aerial microrobotic System-on-Chip in 40nm CMOS. Search on Bibsonomy CICC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Hiroshi Fuketa, Masahiro Nomura, Makoto Takamiya, Takayasu Sakurai Intermittent resonant clocking enabling power reduction at any clock frequency for 0.37V 980kHz near-threshold logic circuits. Search on Bibsonomy ISSCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Wai Kay Leong, Yin Xu, Ben Leong, Zixiao Wang Mitigating egregious ACK delays in cellular data networks by eliminating TCP ACK clocking. Search on Bibsonomy ICNP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Anshuman Chandra Special session 11B: Hot topic on-chip clocking - Industrial trends. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18José Ramón García Oya, Fernando Muñoz 0001, Antonio Torralba 0002, Antonio Jurado, Fernando J. Marquez, Enrique López-Morillo Data Acquisition System based on Subsampling Using Multiple Clocking Techniques. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Keisuke Inoue, Mineo Kaneko A Formal Approach to Optimal Register Binding with Ordered Clocking for Clock-Skew Tolerant Datapaths. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Wuhua Hu, Gaoxi Xiao Self-clocking principle for congestion control in the Internet. Search on Bibsonomy Autom. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Xiaokuo Yang, Li Cai, Qiang Kang, Xiaohui Zhao Clocking misalignment tolerance of pipelined magnetic QCA architectures. Search on Bibsonomy Microelectron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Danny Dolev, Matthias Függer, Christoph Lenzen 0001, Markus Posch, Ulrich Schmid 0001, Andreas Steininger FATAL+: A Self-Stabilizing Byzantine Fault-tolerant Clocking Scheme for SoCs Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
18 Resonant Clocking Circuits for Reversible Computation Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
18Kwanyeob Chae, Saibal Mukhopadhyay All-Digital Adaptive Clocking to Tolerate Transient Supply Noise in a Low-Voltage Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Haven Blake Skinner, Xuchu Hu, Matthew R. Guthaus Harmonic resonant clocking. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Xin Fan 0003, Milos Krstic, Eckhard Grass, Birgit Sanders, Christoph Heer Exploring pausible clocking based GALS design for 40-nm system integration. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Manuel J. Barragan Asian, Gildas Léger, José L. Huertas OBT for settling error test of sampled-data systems using signal-dependent clocking. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Xin Fan 0003, Milos Krstic, Eckhard Grass Performance Analysis of GALS Datalink Based on Pausible Clocking. Search on Bibsonomy ASYNC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Rui Policarpo Duarte, Christos-Savvas Bouganis High-level linear projection circuit design optimization framework for FPGAs under over-clocking. Search on Bibsonomy FPL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Nilanjana Pradhan, Kunal Das, Debashis De Diverse clocking strategy in MQCA. Search on Bibsonomy RAIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Matthew R. Guthaus, Baris Taskin High-Performance, Low-Power Resonant Clocking: Embedded tutorial. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Gerrit den Besten, Shunichi Kaeriyama High-speed wireline transceivers and clocking. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ken Chang, SeongHwan Cho Session 19 overview: 20+ Gb/s wireline transceivers and injection-locked clocking: Wireline subcommittee. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Anthony Hill, Hiroo Hayashi Session 14 overview: Digital clocking and PLLs: High-performance digital subcommittee. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Nathaniel J. August, Hyung-Jin Lee, Martin Vandepas, Rachael J. Parker A TDC-less ADPLL with 200-to-3200MHz range and 3mW power dissipation for mobile SoC clocking in 22nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Nasirul Chowdhury, Jeff Wight, Christopher Mozak, Nasser A. Kurd Intel® Core™ i5/i7 QuickPath Interconnect receiver clocking circuits and training algorithm. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yasuhiro Takahashi, Zhongyu Luo, Toshikazu Sekine, Nazrul Anuar Nayan, Michio Yokoyama 2PCDAL: Two-phase clocking dual-rail adiabatic logic. Search on Bibsonomy APCCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jared Zerbe, Barry Daly, Lei Luo 0006, Bill Stonecypher, Wayne D. Dettloff, John C. Eble, Teva Stone, Jihong Ren, Brian S. Leibowitz, Michael Bucher, Patrick Satarzadeh, Qi Lin, Yue Lu, Ravi T. Kollipara A 5 Gb/s Link With Matched Source Synchronous and Common-Mode Clocking Techniques. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Peiyi Zhao, Jason McNeely, Weidong Kuang, Nan Wang, Zhongfeng Wang Design of Sequential Elements for Low Power Clocking System. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Swaroop Ghosh, Kaushik Roy 0001 Novel Low Overhead Post-Silicon Self-Correction Technique for Parallel Prefix Adders Using Selective Redundancy and Adaptive Clocking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Anita Kumari, Sanjukta Bhanja Landauer Clocking for Magnetic Cellular Automata (MCA) Arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Backward-Data-Direction Clocking and Relevant Optimal Register Assignment in Datapath Synthesis. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Takumi Okuhira, Tohru Ishihara Unified Gated Flip-Flops for Reducing the Clocking Power in Register Circuits. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Seungwhun Paik, Gi-Joon Nam, Youngsoo Shin Implementation of pulsed-latch and pulsed-register circuits to minimize clocking power. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ali M. El-Husseini, Matthew Morrise Clocking design automation in Intel's Core i7 and future designs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Chen Kong Teh, Tetsuya Fujita, Hiroyuki Hara, Mototsugu Hamada A 77% energy-saving 22-transistor single-phase-clocking D-flip-flop with adaptive-coupling configuration in 40nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Behzad Mesgarzadeh, Iman Esmaeil Zadeh, Atila Alvandpour A multi-segment clocking scheme to reduce on-chip EMI. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Nikolaos Andrikos Clocking techniques for the reduction of EMI in digital circuits. Search on Bibsonomy 2011   RDF
18Swaroop Ghosh, Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 Voltage Scalable High-Speed Robust Hybrid Arithmetic Units Using Adaptive Clocking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Naofumi Takagi, Masamitsu Tanaka Comparisons of Synchronous-Clocking SFQ Adders. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Vinayak Honkote Design Automation and Analysis of Resonant Rotary Clocking Technology. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Marco Ottavi, Salvatore Pontarelli, Erik DeBenedictis, Adelio Salsano, Peter M. Kogge, Fabrizio Lombardi High throughput and low power dissipation in QCA pipelines using Bennett clocking. Search on Bibsonomy NANOARCH The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18James D. Warnock, Leon J. Sigal, Dieter F. Wendel, K. Paul Muller, Joshua Friedrich, Victor V. Zyuban, Ethan H. Cannon, A. J. KleinOsowski POWER7TM local clocking and clocked storage elements. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Navid Toosizadeh, Safwat G. Zaky, Jianwen Zhu Using variable clocking to reduce leakage in synchronous circuits. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Rajesh Kannan Megalingam, Shekhil Hassan Thavalengal, Tanmay Muralidhar Rao, Ashwin Mohan, Vivek Periye Low power analysis of DLX processor datapath using a novel clocking scheme. Search on Bibsonomy ICWET The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Vinayak Honkote, Baris Taskin Skew analysis and bounded skew constraint methodology for rotary clocking technology. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Megalingam Rajesh Kannan, M. Arunkumar, V. Arjun Ashok, Krishnan Nived, C. J. Daniel Power-Efficient Cache Design Using Dual-Edge Clocking Scheme in Sun OpenSPARC T1 and Alpha AXP Processors. Search on Bibsonomy BAIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Spencer S. Kellis, Nathaniel Gaskin, Bennion Redd, Eric D. Marsman, Richard Brown 0003 Hybrid on-chip clocking for sensor nodes. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Tamer A. Ali 0001, Dinesh Patil, Frankie Liu, Elad Alon, Jon K. Lexau, Chih-Kong Ken Yang, Ron Ho Clocking Links in Multi-chip Packages: A Case Study. Search on Bibsonomy Hot Interconnects The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Min-Gyu Kim, Pavan Kumar Hanumolu, Un-Ku Moon A 10 MS/s 11-bit 0.19 mm2 Algorithmic ADC With Improved Clocking Scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Nasser A. Kurd, Praveen Mosalikanti, Mark Neidengard, Jonathan Douglas, Rajesh Kumar Next Generation Intel¯ Core™ Micro-Architecture (Nehalem) Clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Zhengtao Yu 0002, Xun Liu Implementing Multiphase Resonant Clocking on a Finite-Impulse Response Filter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Hamid Mahmoodi, Vishy Tirumalashetty, Matthew Cooke, Kaushik Roy 0001 Ultra Low-Power Clocking Scheme Using Energy Recovery and Clock Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Ibuki Mori, Yoshihisa Yamada, Santhos A. Wibowo, Masashi Kono, Haruo Kobayashi 0001, Yukihiro Fujimura, Nobukazu Takai, Toshio Sugiyama, Isao Fukai, Norihisa Onishi, Ichiro Takeda, Jun-Ichi Matsuda EMI Reduction by Spread-Spectrum Clocking in Digitally-Controlled DC-DC Converters. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Bryan Casper, Frank O'Mahony Clocking Analysis, Implementation and Measurement Techniques for High-Speed Data Links - A Tutorial. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Paul T. M. van Zeijl, Manel Collados On the Attenuation of DAC Aliases Through Multiphase Clocking. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Katsuyuki Ikeuchi, Kosuke Sakaida, Koichi Ishida, Takayasu Sakurai, Makoto Takamiya Switched Resonant Clocking (SRC) scheme enabling dynamic frequency scaling and low-speed test. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Xin Fan 0003, Milos Krstic, Eckhard Grass Analysis and optimization of pausible clocking based GALS design. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Xiao Y. Wang, Rajeev K. Dokania, Alyssa B. Apsel Implementation of a Global Clocking Scheme for ULP Radio Networks. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Takefumi Yoshikawa, Yoshihide Komatsu, Tsuyoshi Ebuchi, Takashi Hirata Transceiver Macro with Spread-Spectrum Clocking Capability for AC-Coupled Cable Interfaces. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jihyun Lee, Hyungyu Park, Kyungshik Lim, Kwangil Lee Cross-layer Design for Fast TCP ACK-Clocking over WiMedia UWB Networks. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Swaroop Ghosh, Patrick Ndai, Kaushik Roy 0001 A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Ting Wu 0003, Xudong Shi 0004, Kambiz Kaviani, Haechang Lee, Jung-Hoon Chun, T. J. Chin, Jie Shen 0010, Rich Perego, Ken Chang Clocking circuits for a 16Gb/s memory interface. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Lin Zhang, Berkehan Ciftcioglu, Hui Wu Active deskew in injection-locked clocking. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Dennis Michael Fischette, Kimo Tam Session 17 - Clocking circuits. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Seung-Jun Bae, Young-Soo Sohn, Kwang-Il Park, Kyoung-Ho Kim, Dae-Hyun Chung, Jingook Kim, Si-Hong Kim, Min-Sang Park, Jae-Hyung Lee, Sam-Young Bang, Ho-Kyung Lee, In-Soo Park, Jae-Sung Kim, Dae-Hyun Kim 0003, Hye-Ran Kim, Yong-Jae Shin, Cheol-Goo Park, Gil-Shin Moon, Ki-Woong Yeom, Kang-Young Kim, Jae-Young Lee, Hyang-Ja Yang, Seong-Jin Jang, Joo-Sun Choi, Young-Hyun Jun, Kinam Kim A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with Multifaceted Clocking and ISI/SSN-Reduction Techniques. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Selçuk Köse, Emre Salman, Zeljko Ignjatovic, Eby G. Friedman Pseudo-random clocking to enhance signal integrity. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hanni Bagnordi, Mabo Ito Performance evaluation of a FFT using adpative clocking. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Aihan Yin, Peizhou Zhang Research and Simulation Analysis of Improved Dual-clocking Algorithm in EPON. Search on Bibsonomy ISCSCT (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18José C. García 0001, Juan A. Montiel-Nelson, Saeid Nooshabadi Low energy CMOS true single phase power supply clocking adiabatic differential cascode voltage switch logic circuit. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh A Fast Register Scheduling Approach to the Architecture of Multiple Clocking Domains. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2007 DBLP  BibTeX  RDF
18Allen B. Downey TCP self-clocking and bandwidth sharing. Search on Bibsonomy Comput. Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sohini Dasgupta, Alex Yakovle Comparative analysis of GALS clocking schemes. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Robert D. Mullins, Simon W. Moore Demystifying Data-Driven and Pausible Clocking Schemes. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ruxandra-Liana Costea, Corneliu A. Marinov Clocking and WTA design of a continuous time Hopfield net with parasitic capacitances. Search on Bibsonomy ECCTD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Moonsoo Kang, Jeonghoon Mo Packet Transmission Order Based TCP Loss Recovery Algorithm: Extending Self Clocking Property to Resolve ACK Starvation. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Paul Madeira, Marc-Andre LaCroix, John Hogeboom A low jitter clocking strategy for a 7.5-Gb/s SerDes array in 65nm CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Shamik Das, Matthew F. Bauwens Clocking nanocircuits for nanocomputers and other nanoelectronic systems. Search on Bibsonomy NANOARCH The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 673 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license