The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1986 (15) 1987-1990 (18) 1991-1992 (42) 1993 (21) 1994 (47) 1995 (25) 1996 (54) 1997 (50) 1998 (107) 1999 (97) 2000 (132) 2001 (120) 2002 (145) 2003 (203) 2004 (249) 2005 (286) 2006 (255) 2007 (252) 2008 (270) 2009 (198) 2010 (126) 2011 (116) 2012 (122) 2013 (142) 2014 (135) 2015 (145) 2016 (128) 2017 (157) 2018 (171) 2019 (176) 2020 (173) 2021 (187) 2022 (198) 2023 (212) 2024 (38)
Publication types (Num. hits)
article(1270) book(2) data(2) incollection(14) inproceedings(3444) phdthesis(75) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2225 occurrences of 1302 keywords

Results
Found 4812 publication records. Showing 4812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Rodrigo Piedade, Leonel Sousa Configurable Embedded Core for Controlling Electro-Mechanical Systems. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Steffen Lamparter, Anupriya Ankolekar, Rudi Studer, Daniel Oberle, Christof Weinhardt A policy framework for trading configurable goods and services in open electronic markets. Search on Bibsonomy ICEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ontology, policy, utility theory
17René Cumplido, Jesús Ariel Carrasco-Ochoa, Claudia Feregrino On the Design and Implementation of a High Performance Configurable Architecture for Testor Identification. Search on Bibsonomy CIARP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Martin Trefzer, Jörg Langeheine, Karlheinz Meier, Johannes Schemmel A Modular Framework for the Evolution of Circuits on Configurable Transistor Array Architectures. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Clay Gloster, Wanda Gay, Michaela Amoo, Mohamed Chouikha Optimizing the Design of a Configurable Digital Signal Processor for Accelerated Execution of the 2-D Discrete Cosine Transform. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Anthony D. Wood, Lei Fang, John A. Stankovic, Tian He 0001 SIGF: a family of configurable, secure routing protocols for wireless sensor networks. Search on Bibsonomy SASN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor network, secure routing
17Feng Xian, Witawas Srisa-an, Hong Jiang 0001 Evaluating Hardware Support for Reference Counting Using Software Configurable Processors. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Grant Martin Recent Developments in Configurable and Extensible Processors. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Carl Bergenhem, Johan Karlsson, Christian Archer, Andreas Sjoblom Implementation Results of a Configurable Membership Protocol for Active Safety Systems. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Art Gowan, Bryan MacDonald, Han Reichgelt A configurable assessment information system. Search on Bibsonomy SIGITE Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF assessment, accreditation
17Tiago R. Balen, Antonio Q. Andrade, Florence Azaïs, Marcelo Lubaszewski, Michel Renovell Applying the Oscillation Test Strategy to FPAA's Configurable Analog Blocks. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPAA test, Oscillation Test Strategy (OTS), Built-In Self Test (BIST), analog testing
17Fabio Pellacini User-configurable automatic shader simplification. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF level of detail, languages, interactive rendering, rendering systems, hardware systems, procedural shading
17Bradley A. Malin, Edoardo M. Airoldi, Samuel Edoho-Eket, Yiheng Li Configurable Security Protocols for Multi-party Data Analysis with Malicious Participants. Search on Bibsonomy ICDE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Vassilios A. Chouliaras, Tom R. Jacobs, Ashwin K. Kumaraswamy, José L. Núñez-Yáñez Configurable Multiprocessors for High-Performance MPEG-4 Video Coding. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chih-Pin Su, Chia-Lung Horng, Chih-Tsun Huang, Cheng-Wen Wu A configurable AES processor for enhanced security. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Tiejun Li, Sikun Li, Cheng-Dong Shen A novel configurable motion estimation architecture for high-efficiency MPEG-4/H.264 encoding. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wenlong Zhao 0004, Alexander Verbraeck A framework for configurable hierarchical simulation in a multiple-user decision support environment. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wil M. P. van der Aalst, Alexander Dreiling, Florian Gottschalk, Michael Rosemann, Monique H. Jansen-Vullers Configurable Process Models as a Basis for Reference Modeling. Search on Bibsonomy Business Process Management Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Steven A. Guccione Programming Configurable Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mukesh Chugh, Dinesh Bhatia, Poras T. Balsara Design and Implementation of Configurable W-CDMA Rake Receiver Architectures on FPGA. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hong Yue, Ming-che Lai, Kui Dai, Zhiying Wang 0003 Design of a Configurable Embedded Processor Architecture for DSP Functions. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xizhen Xu, Sotirios G. Ziavras H-SIMD Machine: Configurable Parallel Computing for Matrix Multiplication. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17G. Provost, Marc-André Cantin, Mohamad Sawan, Christian Cardinal, Yvon Savaria, David Haccoun Fast parameters optimization of an iterative decoder using a configurable hardware accelerator. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chip-Hong Chang, Shibu Menon, Bin Cao, Thambipillai Srikanthan A configurable dual moduli multi-operand modulo adder. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Haihua Shen, Lin Ma, Heng Zhang CRPG: a configurable random test-program generator for microprocessors. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Tadashi Yanagihara, Hiroshi Sakakibara, Ryo Ohsawa, Masao Ideuchi, Naohiko Kohtake, Masayuki Iwai, Kazunori Takashio, Hideyuki Tokuda A Self Configurable Topology-Aware Network for Smart Materials. Search on Bibsonomy ICDCS Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nicholas J. Macias, Lisa J. K. Durbeck A Hardware Implementation of the Cell Matrix Self-Configurable Architecture: The Cell Matrix MOD 88. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hendry, Munchurl Kim A Dynamically Configurable Multimedia Middleware. Search on Bibsonomy PCM (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF communication architectures, on-chip bus
17Francesco Bellotti, Alessandro De Gloria, Andrea Poggi, Luisa Andreone, S. Damiani, P. Knoll Designing configurable automotive dashboards on liquid crystal displays. Search on Bibsonomy Cogn. Technol. Work. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Digital automotive dashboards, Road user tests, Liquid crystal displays, Multimedia systems, Human-machine interaction, Graphics design, Car, Runtime configurability
17Nikolaos Kavvadias, Spiridon Nikolaidis 0001 Application Analysis with Integrated Identification of Complex Instructions for Configurable Processors. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Christian Panis, Ulrich Hirnschrott, Andreas Krall, Gunther Laure, Wolfgang Lazian, Jari Nurmi FSEL - Selective Predicated Execution for a Configurable DSP Core. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Andreas Leininger, Michael Gössel, Peter Muhmenthaler Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Code. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Andrea Cappelli, Andrea Lodi 0002, Claudio Mucci, Mario Toma, Fabio Campi A Dataflow Control Unit for C-to-Configurable Pipelines Compilation Flow. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sebastian Wallner Design Methodology of a Configurable System-on-Chip Architecture. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Lev Kirischian, Irina Terterian, Pil Woo Chun, Vadim Geurkov Re-Configurable Parallel Stream Processor with Self-Assembling and Self-Restorable Micro-Architecture. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kimish Patel, Enrico Macii, Luca Benini, Massimo Poncino Reducing cache misses by application-specific re-configurable indexing. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Yajun Ran, Malgorzata Marek-Sadowska The Magic of a Via-Configurable Regular Fabric. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Paolo Cusinato Configurable direct-conversion / superheterodyne baseband down-link channel for W-CDMA applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shaorong Liu, Qinghua Zou, Wesley W. Chu Configurable indexing and ranking for XML information retrieval. Search on Bibsonomy SIGIR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF XML ranking, ranking, XML information retrieval, XML indexing
17Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Michel Renovell, Marcelo Lubaszewski Testing the Configurable Analog Blocks of Field Programmable Analog Arrays. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jens Bieger, Sorin A. Huss, Michael Jung 0002, Stephan Klaus, Thomas Steininger Rapid Prototyping for Configurable System-on-a-Chip Platforms: A Simulation Based Approach. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nikil D. Dutt, Kiyoung Choi Configurable Processors for Embedded Computing. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Timo Asikainen, Timo Soininen, Tomi Männistö A Koala-Based Approach for Modelling and Deploying Configurable Software Product Families. Search on Bibsonomy PFE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Warwick Irwin, Neville I. Churcher Object Oriented Metrics: Precision Tools and Configurable Visualisations. Search on Bibsonomy IEEE METRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF metric visualisation, XML, static analysis, VRML, software metrics, empirical software engineering, object-oriented metrics, software visualisation
17Chuanjun Zhang, Frank Vahid, Walid A. Najjar Energy Benefits of a Configurable Line Size Cache for Embedded Systems. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Roberto Silveira Silva Filho, Cleidson R. B. de Souza, David F. Redmiles The design of a configurable, extensible and dynamic notification service. Search on Bibsonomy DEBS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF notification servers, pluggable architecture, dynamic architecture, event-based middleware
17Andrea Lodi 0002, Mario Toma, Fabio Campi A pipelined configurable gate array for embedded processors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipeline, energy, reconfigurable processor
17Antonio Carlos Schneider Beck, Júlio C. B. de Mattos, Flávio Rech Wagner, Luigi Carro CACO-PS: A General Purpose Cycle-Accurate Configurable Power Simulator. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Geoffroy Vallée, Christine Morin, Jean-Yves Berthou, Louis Rilling A New Approach to Configurable Dynamic Scheduling in Clusters Based on Single System Image Technologies. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Visvanathan Subramanian, Joseph G. Tront, Charles W. Bostian, Scott F. Midkiff Design and Implementation of a Configurable Platform for Embedded Communication Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Masakuni Agetsuma, Kenji Kono, Hideya Iwasaki, Takashi Masuda Self-configurable Mirror Servers for Automatic Adaptation to Service Demand Fluctuation. Search on Bibsonomy ASIAN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Alwyn Goh, Wai Kuan Yip, David Ngo Chek Ling Flexibly Configurable and Computation-Efficient Digital Cash with Polynomial-Thresholded Coinage. Search on Bibsonomy Communications and Multimedia Security The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Tero Kojo, Tomi Männistö, Timo Soininen Towards Intelligent Support for Managing Evolution of Configurable Software Product Families. Search on Bibsonomy SCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Oleg Maslennikow, Juri Shevtshenko, Anatoli Sergyienko Configurable Microprocessor Array for DSP Applications. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Raymond Klefstad, Sumita Rao, Douglas C. Schmidt Design and Performance of a Dynamically Configurable, Messaging Protocols Framework for Real-Time CORBA. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Carsten Böke, Marcelo Götz, Tales Heimfarth Re-) Configurable Real-Time Operating Systems and Their Applications. Search on Bibsonomy WORDS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Marco Aurélio Stelmar Netto, César A. F. De Rose CRONO: A Configurable and Easy to Maintain Resource Manager Optimized for Small and Mid-Size GNU/Linux Cluster. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Christian Panis, Raimund Leitner, Jari Nurmi Scaleable Shadow Stack for a Configurable DSP Concept. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Paolo Maggi, Riccardo Sisto A configurable mobile agent data protection protocol. Search on Bibsonomy AAMAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF mobile agents, data integrity, cryptographic protocols, data authenticity
17María A. Trenas, Juan López, Emilio L. Zapata, Francisco Argüello A Configurable Architecture for the Wavelet Packet Transform. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF time-frequency tiling, word-serial, word-parallel, wavelet packets
17Mon-Yen Luo, Chu-Sing Yang Management system support for configurable and efficient layer-7 routing. Search on Bibsonomy NOMS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jarno Vanne, Eero Aho, Kimmo Kuusilinna, Timo D. Hämäläinen Enhanced Configurable Parallel Memory Architecture. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Tuomas Valtonen, Jouni Isoaho, Hannu Tenhunen The Case for Fine-Grained Re-configurable Architectures: An Analysis of Conceived Performance. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Rudy Lauwereins Creating a World of Smart Re-configurable Devices. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Frank Wolz, Reiner Kolla A Retargetable Macro Generation Method for the Evaluation of Repetitive Configurable Architectures. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Efficient instruction encoding for automatic instruction set design of configurable ASIPs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Tiago C. Ferreto, César A. F. De Rose, Luiz De Rose RVision: An Open and High Configurable Tool for Cluster Monitoring. Search on Bibsonomy CCGRID The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Zhan Yu Low power finite field multiplication and division in re-configurable Reed-Solomon codec. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Andreas Rasche, Andreas Polze Configurable Services for Mobile Users. Search on Bibsonomy WORDS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF XML, aspect-oriented programming, configuration, component model
17Eisaku Ohbuchi, Hiroshi Unno 0004 A Real-Time Configurable Shader Based on Lookup Tables. Search on Bibsonomy CW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Takeshi Umezawa, Ichiro Satoh, Yuichiro Anzai A Mobile Agent-Based Framework for Configurable Sensor Networks. Search on Bibsonomy MATA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ali Arsanjani, James J. Alpigini, Hussein Zedan Externalizing Component Manners to Achieve Greater Maintainability through a Highly Re-Configurable Architectural Style. Search on Bibsonomy ICSM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Shridhar Mubaraq Mishra, Hak Keong Sim, Chun Feng Hu, G. Ardhanari, V. Sabnis, T. Kamiko, T. Zhang, B. T. Xu, Kee Chaing Chua, X. N. Wei, B. Prashant, Pramod K. Pandey A Configurable, QoS-Aware Ethernet L2+ Switch Optimized for Access Networks. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Hiroshi Tsutsui, Takahiko Masuzaki, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura High speed JPEG2000 encoder by configurable processor. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Giovanni Vigna, Richard A. Kemmerer, Per Blix Designing a Web of Highly-Configurable Intrusion Detection Sensors. Search on Bibsonomy Recent Advances in Intrusion Detection The full citation details ... 2001 DBLP  DOI  BibTeX  RDF STAT, Security, Software Engineering, Intrusion Detection
17Fabrice Monteiro, Abbas Dandache, Bernard Lepley Fast Configurable Polynomial Division for Error Control Coding Applications. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Soon-Ju Kang, Jun Ho Park, Sung-Ho Park ROOM-BRIDGE: Vertically Configurable Network Architecture and Real-Time Middleware for Interoperability between Ubiquitous Consumer Devices in the Home. Search on Bibsonomy Middleware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Donald L. Hung, Heng-Da Cheng, Savang Sengkhamyong Design of a configurable accelerator for moment computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Zhen Luo, Margaret Martonosi Accelerating Pipelined Integer and Floating-Point Accumulations in Configurable Hardware with Delayed Addition Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Delayed addition, multiply-accumulate, FPGA, MAC, accumulation
17Young-Joo Suh, Sudhakar Yalamanchili Configurable Algorithms for Complete Exchange in 2D Meshes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel algorithms, collective communication, Interprocessor communication, all-to-all communication, complete exchange, all-to-all personalized exchange
17Lakshminarayanan Subramanian, Randy H. Katz An architecture for building self-configurable systems. Search on Bibsonomy MobiHoc The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Holger Lange, Andreas Koch 0001 Memory Access Schemes for Configurable Processors. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Rob McCready Real-Time Face Detection on a Configurable Hardware System. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Alfred Blaickner, O. Nagy, Herbert Grünbacher Fast Carrier and Phase Synchronization Units for Digital Receivers Based on Re-configurable Logic. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF digital receiver, FPGA, synchronization, Wireless, hardware/software co-design, software radio
17Eduardo de la Torre, Teresa Riesgo, Javier Uceda, E. Macip, M. Rizzi Highly Configurable Control Boards: A Tool and a Design Experience. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Hemang Lavana, Franc Brglez, Robert B. Reese, Gangadhar Konduri, Anantha P. Chandrakasan OpenDesign: An Open User-Configurable Project Environment for Collaborative Design and Execution on the Internet. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Internet, design, collaborative computing
17John Morris, Gary A. Bundell, Sonny Tham A Re-Configurable Processor for Petri Net Simulation. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17W. Shi, K. Kumar, Fabrizio Lombardi On the Complexity of Switch Programming in Fault-Tolerant-Configurable Chips. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Binh Vien Dao, José Duato, Sudhakar Yalamanchili Dynamically Configurable Message Flow Control for Fault-Tolerant Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiphase routing, pipelined interconnection network, message flow control, routing protocol, multicomputer, virtual channels, Fault-tolerant routing, wormhole switching
17M. Ranganathan, Laurent Andrey, Virginie Schaal, Jean Philippe Favreau Re-Configurable Distributed Scripting. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17K. K. Lee, D. F. Wong 0001 An Exact Tree-Based Structural Technology Mapping Algorithm for Configurable Logic Blocks in FPGAs. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Hemang Lavana, Franc Brglez, Robert B. Reese User-configurable experimental design flows on the web: the ISCAS'99 experiments. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Young-Joo Suh, Kang G. Shin, Syungog An Configurable Complete Exchanges in 2D Torus-Connected Networks. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel algorithms, collective communication, all-to-all communication, complete exchange, all-to-all personalized exchange, inter-processor communication
17Yanbo Han, Thorsten Schaaf, Hongbing Pang A Framework for Configurable Workflow Systems. Search on Bibsonomy TOOLS (31) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Dynamic System Configuration, Software Architecture, Framework, Software Agents, Business Objects, Workflow Technology
17S. Ramanathan, V. Visvanathan, S. K. Nandy 0001 Synthesis of Configurable Architectures for DSP Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian RAM-Based FPGA's: A Test Approach for the Configurable Logic. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Gordon J. Brebner, Rob Pooley ECOLE: A Configurable Environment for a Local Optical Network of Workstations. Search on Bibsonomy CANPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian SRAM-Based FPGAs: A Fault Model for the Configurable Logig Modules. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Andreas Dandalis, Viktor K. Prasanna Space-efficient Mapping of 2D-DCT onto Dynamically Configurable Coarse-Grained Architectures. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 4812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license