The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Pei-Fu Shen, Huawei Li 0001, Yongjun Xu, Xiaowei Li 0001 Non-robust Test Generation for Crosstalk-Induced Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ajay K. Katangur, Yi Pan 0001 Performance Analysis of Optical Multistage Interconnection Networks with Limited Crosstalk. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong Integrated routing resource assignment for RLC crosstalk minimization. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Kishore Kumar Muchherla, Pinhong Chen, Janet Meiling Wang A non-iterative equivalent waveform model for timing analysis in presence of crosstalk. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jaejin Park, Ruifeng Sun, L. Rick Carley, C. Patrick Yue A 10-Gbps, 8-PAM parallel interface with crosstalk cancellation for future hard disk drive channel ICs. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Jinan Lou, Wei Chen Crosstalk-Aware Placement. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Dimitrios Velenis, Eby G. Friedman Buffer Sizing for Crosstalk Induced Delay Uncertainty. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 Modeling and Testing Crosstalk Faults in Inter-Core Interconnects that Include Tri-State and Bi-Directional Nets. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ajay K. Katangur, Somasheker Akkaladevi, Yi Pan 0001, Martin D. Fraser Applying Ant Colony Optimization to Routing in Optical Multistage Interconnection Networks with Limited Crosstalk. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Alireza Kasnavi, Joddy W. Wang, Mahmoud Shahram, Jindrich Zejda Analytical modeling of crosstalk noise waveforms using Weibull function. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Igor Keller, Ken Tseng, Nishath K. Verghese A robust cell-level crosstalk delay change analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Maurizio Martina, Guido Masera A statistical model for estimating the effect of process variations on crosstalk noise. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF statistical analysis, interconnects modeling
29Srinivasa R. Sridhara, Arshad Ahmed, Naresh R. Shanbhag Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Harmander Deogun, Rajeev R. Rao, Dennis Sylvester, David T. Blaauw Leakage-and crosstalk-aware bus encoding for total power reduction. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, encoding, leakage reduction
29Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi Blocking behaviors of crosstalk-free optical Banyan networks on vertical stacking. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, banyan networks
29Li Ding 0002, David T. Blaauw, Pinaki Mazumder Accurate crosstalk noise modeling for early signal integrity analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Andrea Borella, Giovanni Cancellieri, Dante Mantini Space Division Architectures for Crosstalk Reduction in Optical Interconnection Networks. Search on Bibsonomy QoS-IP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Arani Sinha, Sandeep K. Gupta 0001, Melvin A. Breuer An Enhanced Test Generator for Capacitance Induced Crosstalk Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Pirouz Bazargan-Sabet, Patricia Renault An Event-Driven Approach to Crosstalk Noise Analysis. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Ming-Fu Hsiao, Malgorzata Marek-Sadowska, Sao-Jie Chen A crosstalk aware two-pin net router. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29L. Di Silvio, Daniele Rossi 0001, Cecilia Metra Crosstalk Effect Minimization for Encoded Busses. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Yehia Massoud, Jacob K. White 0001 Simulation and modeling of the effect of substrate conductivity on coupling inductance and circuit crosstalk. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Lauren Hui Chen, Malgorzata Marek-Sadowska Closed-Form Crosstalk Noise Metrics for Physical Design Applications. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Murat R. Becer, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Kazuya Shimizu, Noriyoshi Itazaki, Kozo Kinoshita Crosstalk Fault Reduction and Simulation for Clock-Delayed Domino Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Pinhong Chen, Yuji Kukimoto, Chin-Chi Teng, Kurt Keutzer On convergence of switching windows computation in presence of crosstalk noise. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Barbara Cannas, Alessandra Fanni, F. Maradei A neural network approach to predict the crosstalk in non-uniform multiconductor transmission lines. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Pierluigi Civera, Luca Macchiarulo, Massimo Violante A Simplified Gate-Level Fault Model for Crosstalk Effects Analysis. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Jun Chen 0008, Lei He 0001 Determination of worst-case crosstalk noise for non-switching victims in GHz+ buses. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect design
29Rony Kay, Rob A. Rutenbar Wire packing - a strong formulation of crosstalk-aware chip-leveltrack/layer assignment with an efficient integer programming solution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Jason Cong, David Zhigang Pan, Prasanna V. Srinivas Improved crosstalk modeling for noise constrained interconnect optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Hiroshi Takahashi, Marong Phadoongsidhi, Yoshinobu Higami, Kewal K. Saluja, Yuzo Takamatsu Simulation-Based Diagnosis for Crosstalk Faults in Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29V. Sankara Subramanian, C. P. Ravikumar Estimating Crosstalk From Vlsi Layouts. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29N. V. Arvind, P. R. Suresh, V. Sivakumar, Chandrani Pal, Debaprasad Das Integrated Crosstalk And Oxide Integrity Analysis In Dsm Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Nagaraj Ns, Poras T. Balsara, Cyrus D. Cantrell Crosstalk Noise Verification in Digital Designs with Interconnect Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Fabrice Caignet, S. D.-B. Dhia, Etienne Sicard On the measurement of crosstalk in integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Hai Zhou 0001, Martin D. F. Wong Global routing with crosstalk constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Weiyu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation in VLSI circuits for crosstalk noise. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing and Crosstalk Driven Area Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
29Hai Zhou 0001, D. F. Wong 0001 Global Routing with Crosstalk Constraints. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
29Tong Gao, C. L. Liu 0001 Minimum crosstalk channel routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29J. S. Hebhardt, C. F. Groves, R. Bardas NAA: an approach to analyzing backpanel crosstalk. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
27Shehzad Hasan, Ajoy Kumar Palit, Walter Anheier Test Pattern Generation and Compaction for Crosstalk Induced Glitches and Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Switching Windows, Test Set Compaction, Automatic Test Pattern Generation, Crosstalk Faults
27Tipparti Anil Kumar A Robust Multiuser Detection Based Scheme for Crosstalk Mitigation in DMT VDSL with Non-Gaussian Noise. Search on Bibsonomy ICSAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multisuer detection, VDSL, Crosstalk, Impulse noise, M-estimation, Influence function
27Donald Chai, Alex Kondratyev, Yajun Ran, Kenneth H. Tseng, Yosinori Watanabe, Malgorzata Marek-Sadowska Temporofunctional crosstalk noise analysis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SAT formula, timed Boolean logic, crosstalk noise
27Lauren Hui Chen, Malgorzata Marek-Sadowska Efficient Closed-Form Crosstalk Delay Metrics. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect coupling, coupling direction, delay, crosstalk
25Kosuke Kubota, Yosuke Tanigawa, Yusuke Hirota, Hideki Tode Crosstalk-Aware Resource Allocation Based on Optical Path Adjacency and Crosstalk Budget for Space Division Multiplexing Elastic Optical Networks. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
25Kotaro Hashino, Yusuke Hirota, Yosuke Tanigawa, Hideki Tode A Strict and Less Computational Crosstalk-Aware Spectrum and Core Allocation Method with Crosstalk-Prohibited Frequency Slots in SDM-EONs. Search on Bibsonomy PSC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Kimia Soleimani, Ahmad Patooghy, Nasim Soltani, Lake Bu, Michel A. Kinsy Crosstalk Free Coding Systems to Protect NoC Channels against Crosstalk Faults. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ibrahim Mohamed, Mohammad Syuhaimi Ab-Rahman Analytical Analysis of In-Band Crosstalk, Out-of-Band Crosstalk and GVD-Based Power Penalties in DWDM and TDM/DWDM-PONS. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hosik Sohn, Yong Ju Jung, Seong-il Lee, Yong Man Ro Crosstalk reduction in stereoscopic displays: A combined approach of disparity adjustment and crosstalk cancellation. Search on Bibsonomy IVMSP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Haining Yang, Brian Robertson, Daping Chu Transient crosstalk in LCOS based WSS and a method to suppress the crosstalk levels. Search on Bibsonomy OFC/NFOEC The full citation details ... 2013 DBLP  BibTeX  RDF
25Hae-Kang Jung, Il-Min Yi, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park A Transmitter to Compensate for Crosstalk-Induced Jitter by Subtracting a Rectangular Crosstalk Waveform From Data Signal During the Data Transition Time in Coupled Microstrip Lines. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Luís G. C. Cancela, João J. O. Pires Quantifying the influence of crosstalk-crosstalk beat noise in optical DPSK systems. Search on Bibsonomy EUROCON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye Quantitative Prediction of On-Chip Capacitive and Inductive Crosstalk Noise and Tradeoff between Wire Cross-Sectional Area and Inductive Crosstalk Effect. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Amir R. Forouzan, Lee M. Garth Computationally Efficient Partial Crosstalk Cancellation in Fast Time-Varying DSL Crosstalk Environments. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Wei Yao 0002, Yiyu Shi 0001, Lei He 0001, Sudhakar Pamarti, Yu Hu 0002 Worst case timing jitter and amplitude noise in differential signaling. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Xin He, Xiyun Song, Eric C. Frey Application of Three-Class ROC Analysis to Task-Based Image Quality Assessment of Simultaneous Dual-Isotope Myocardial Perfusion SPECT (MPS). Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Paschalis Tsiaflakis, Moritz Diehl, Marc Moonen Distributed Spectrum Management Algorithms for Multiuser DSL Networks. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical Timing Analysis in the Presence of Signal-Integrity Effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Bin Lee, John M. Cioffi, Sumanth Jagannathan, Kibeom Seong, Youngjae Kim, Mehdi Mohseni, Mark H. Brady Binder MIMO Channels. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Narender Hanchate, Nagarajan Ranganathan Statistical Gate Sizing for Yield Enhancement at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Sang-Hyuk Lee, Jung-Jun Park, Tae-Bum Kwon, Jae-Bok Song Torque sensor calibration using virtual load for a manipulator. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Yaoting Jiao, Yulu Yang, Ming He, Mei Yang, Yingtao Jiang Multi-path Routing for Mesh/Torus-Based NoCs. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Pan Zhang, Yong Chen Statistical Neurodynamics for Sequence Processing Neural Networks with Finite Dilution. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Rathy Shankar, Trevor J. Hall Performance Analysis of Directional Coupler Switches in the Presence of Noise from the Loss-Compensating Amplifiers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Payam Heydari, Massoud Pedram Capacitive coupling noise in high-speed VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ken Tseng, Mark Horowitz False coupling exploration in timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Finbarr O'Regan, Conor Heneghan A Low Power Algorithm for Sparse System Identification using Cross-Correlation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sparse systems, low power digital signal processing, lowpower hardware, adaptive filter, system identification
25Radu M. Secareanu, Suman K. Banerjee, Olin L. Hartin, Virgilio Fernandez, Eby G. Friedman Managing substrate and interconnect noise from high performance repeater insertion in a mixed-signal environment. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Rohit V. Gaikwad, Richard G. Baraniuk Joint signaling techniques and spectral optimization for symmetric bit-rate communication over self-NEXT-dominated channels. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Alexey Glebov, Sergey Gavrilov, R. Soloviev, Vladimir Zolotov, Murat R. Becer, Chanhee Oh, Rajendran Panda Delay noise pessimism reduction by logic correlations. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Yuanyuan Yang 0001, Jianchao Wang Routing Permutations on Optical Baseline Networks with Node-Disjoint Paths. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Satya Prasad Majumder, Sanjoy Dey Evaluation of BER/PER Performance of a FLAMINGO Network. Search on Bibsonomy AACC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Patrick Gebhard, Michael Kipp, Martin Klesen, Thomas Rist Adding the Emotional Dimension to Scripting Character Dialogues. Search on Bibsonomy IVA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Xiaoliang Bai, Rajit Chandra, Sujit Dey, P. V. Srinivas Noise-Aware Driver Modeling for Nanometer Technology. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Yuanyuan Yang 0001, Jianchao Wang Optimal All-to-All Personalized Exchange in a Class of Optical Multistage Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF semi-permutations, Optical networks, permutation, multistage networks, all-to-all communication, Latin square, all-to-all personalized exchange
25Akira Onozawa, Kamal Chaudhary, Ernest S. Kuh Performance driven spacing algorithms using attractive and repulsive constraints for submicron LSI's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Ravikishore Gandikota, Li Ding 0002, Peivand Tehrani, David T. Blaauw Worst-case aggressor-victim alignment with current-source driver models. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CSM, delay noise, crosstalk
23Ferdi A. Smit, Robert van Liere, Bernd Fröhlich 0001 An image-warping VR-architecture: design, implementation and applications. Search on Bibsonomy VRST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF judder, VR, latency, motion, crosstalk, image-warping, stereoscopic display
23Michael N. Skoufis, Kedar Karmarkar, Themistoklis Haniotakis, Spyros Tragoudas A High-Performance Bus Architecture for Strongly Coupled Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-speed bus, crosstalk
23S. Raja 0002, F. Varadi, Murat R. Becer, Joao Geada Transistor level gate modeling for accurate and fast timing, noise, and power analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gate modeling, timing, statistical, crosstalk, multi threaded
23K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas Bus encoding schemes for minimizing delay in VLSI interconnects. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bus encoding technique, crosstalk class, delay, encoder, decoder, VLSI interconnects
23Satish Yada, Bharadwaj S. Amrutur, Rubin A. Parekhji Modified Stability Checking for On-line Error Detection. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF crosstalk faults and transient faults, SEU testing, modified stability checking, delay faults, self-checking circuits, Concurrent testing, on-line error detection
23Yuanyuan Yang 0001, Jianchao Wang Routing Permutations on Baseline Networks with Node-Disjoint Paths. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF semipermutation, baseline network, link-disjoint paths, crosstalk-free, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths
23Enyue Lu, S. Q. Zheng 0001 Parallel Routing Algorithms for Nonblocking Electronic and Photonic Switching Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF rearrangeable nonblocking network, strictly nonblocking network, parallel algorithm, graph coloring, crosstalk, optical switching, Banyan network, self-routing, switch control
23Srinivasa R. Sridhara, Naresh R. Shanbhag A low-power bus design using joint repeater insertion and coding. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, coding, crosstalk, repeaters
23Cristiano Forzan, Davide Pandini A complete methodology for an accurate static noise analysis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF noise propagation, crosstalk, signal integrity
23Jihong Ren, Mark R. Greenstreet A unified optimization framework for equalization filter synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF equalizing filters, optimal synthesis, linear programming, crosstalk
23Tudor Murgan, Clemens Schlachta, Mihail Petrov, Leandro Soares Indrusiak, Alberto García Ortiz, Manfred Glesner, Ricardo A. L. Reis Accurate capture of timing parameters in inductively-coupled on-chip interconnects. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF signal delay, crosstalk, on-chip interconnects, interconnect models, inductive coupling
23Aman Kokrady, C. P. Ravikumar Fast, Layout-Aware Validation of Test-Vectors for Nanometer-Related Timing Failures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Timing Failure, Test Validation, Crosstalk, At Speed Testing, IR Drop
23Enrico Macii, Massimo Poncino, Sabino Salerno Combining wire swapping and spacing for low-power deep-submicron buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, physical design, crosstalk, bus encoding
23Atsushi Sakai, Takashi Yamada, Yoshifumi Matsushita, Hiroto Yasuura Routing methodology for minimizing 1nterconnect energy dissipation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, SoC, analysis, crosstalk, energy dissipation
23Prashant Saxena, Satyanarayan Gupta Shield count minimization in congested regions. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power routing, routing, noise, layout, crosstalk, shielding, high performance design, domino circuits
23Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical signal integrity verification models for inductance-dominant multi-coupled VLSI interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TWA, signal integrity verification, delay, crosstalk, ringing, signal integrity, transmission line, glitch, VLSI interconnect, traveling-wave
23Suriyaprakash Natarajan, Melvin A. Breuer, Sandeep K. Gupta 0001 Process Variations and their Impact on Circuit Operation. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF process parameters, electrical parameters, design corners, delay, correlations, process variations, crosstalk
23Michele Favalli, Cecilia Metra Low-level error recovery mechanism for self-checking sequential circuits. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF low-level error recovery mechanism, self-checking sequential circuits, reliability requirements, small embedded systems, sequential circuits, design methodology, transient faults, delay faults, fault tolerant capabilities, crosstalk faults
Displaying result #301 - #400 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license