The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1987 (15) 1988-1989 (16) 1990 (22) 1991-1992 (24) 1993 (15) 1994 (23) 1995 (38) 1996 (38) 1997 (31) 1998 (45) 1999 (39) 2000 (51) 2001 (32) 2002 (46) 2003 (40) 2004 (43) 2005 (60) 2006 (59) 2007 (79) 2008 (56) 2009 (38) 2010 (19) 2011 (18) 2012-2013 (24) 2014 (22) 2015 (19) 2016 (20) 2017 (20) 2018-2019 (30) 2020 (25) 2021-2022 (39) 2023 (16) 2024 (4)
Publication types (Num. hits)
article(309) data(1) incollection(3) inproceedings(749) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 838 occurrences of 477 keywords

Results
Found 1066 publication records. Showing 1066 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Gregor Leander, Thorben Moos, Amir Moradi 0001, Shahram Rasoolzadeh The SPEEDY Family of Block Ciphers - Engineering an Ultra Low-Latency Cipher from Gate Level for Secure Processor Architectures. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
13Yuan Yao, Tuna B. Tufan, Tarun Kathuria, Baris Ege, Ulkuhan Guler 0001, Patrick Schaumont Pre-silicon Architecture Correlation Analysis (PACA): Identifying and Mitigating the Source of Side-channel Leakage at Gate-level. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
13Konstantinos G. Liakos, Georgios K. Georgakilas, Fotis C. Plessas Hardware Trojan Classification at Gate-level Netlists based on Area and Power Machine Learning Analysis. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Tong Lu, Fang Zhou 0001, Ning Wu, Fen Ge, Benjun Zhang Hardware Trojan Detection Method for Gate-Level Netlists Based on the Idea of Few-Shot Learning. Search on Bibsonomy ICCT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Seira Hidano, Kohei Nozawa, Shinsaku Kiyomoto, Nozomu Togawa Data Augmentation for Machine Learning-Based Hardware Trojan Detection at Gate-Level Netlists. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Endri Kaja, Nicolas Gerlin, Mounika Vaddeboina, Luis Rivas, Sebastian Siegfried Prebeck, Zhao Han, Keerthikumara Devarajegowda, Wolfgang Ecker Towards Fault Simulation at Mixed Register-Transfer/Gate-Level Models. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Aneesh Balakrishnan, Dan Alexandrescu, Maksim Jenihhin, Thomas Lange, Maximilien Glorieux Gate-Level Graph Representation Learning: A Step Towards the Improved Stuck-at Faults Analysis. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Motoki Amagasaki, Hiroki Oyama, Yuichiro Fujishiro, Masahiro Iida, Hiroaki Yasuda, Hiroto Ito R-GCN Based Function Inference for Gate-level Netlist. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Mohammad Taherifard, Mahdi Fazeli, Ahmad Patooghy Scan-based attack tolerance with minimum testability loss: a gate-level approach. Search on Bibsonomy IET Inf. Secur. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Negin Zaraee, Boyou Zhou, Kyle Vigil, Mohammad M. Shahjamali, Ajay Joshi, M. Selim Ünlü Gate-Level Validation of Integrated Circuits With Structured-Illumination Read-Out of Embedded Optical Signatures. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Shilpa Pendyala, Sheikh Ariful Islam, Srinivas Katkoori Gate Level NBTI and Leakage Co-Optimization in Combinational Circuits with Input Vector Cycling. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Guilherme Paim, Leandro Mateus Giacomini Rocha, Hussam Amrouch, Eduardo Antônio César da Costa, Sergio Bampi, Jörg Henkel A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Bon Woong Ku, Kyungwook Chang, Sung Kyu Lim Compact-2D: A Physical Design Methodology to Build Two-Tier Gate-Level 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Binod Kumar 0001, Kanad Basu, Masahiro Fujita, Virendra Singh Post-Silicon Gate-Level Error Localization With Effective and Combined Trace Signal Selection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13K. Sravani, Rathnamala Rao Design of high throughput asynchronous FIR filter using gate level pipelined multipliers and adders. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Arunkumar Vijayan, Mehdi B. Tahoori, Krishnendu Chakrabarty Runtime Identification of Hardware Trojans by Feature Analysis on Gate-Level Unstructured Data and Anomaly Detection. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Jeremy Blackstone, Wei Hu 0008, Alric Althoff, Armaiti Ardeshiricham, Lu Zhang, Ryan Kastner A Unified Model for Gate Level Propagation Analysis. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
13Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Trojan-Net Classification for Gate-Level Hardware Design Utilizing Boundary Net Structures. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Nils Albartus, Max Hoffmann 0001, Sebastian Temme, Leonid Azriel, Christof Paar DANA Universal Dataflow Analysis for Gate-Level Netlist Reverse Engineering. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yuan Yao, Tarun Kathuria, Baris Ege, Patrick Schaumont Architecture Correlation Analysis (ACA): Identifying the Source of Side-channel Leakage at Gate-level. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
13Nils Albartus, Max Hoffmann 0001, Sebastian Temme, Leonid Azriel, Christof Paar DANA - Universal Dataflow Analysis for Gate-Level Netlist Reverse Engineering. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
13Bijan Alizadeh, Yasaman Abadi Incremental SAT-Based Correction of Gate Level Circuits by Reusing Partially Corrected Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Stavros Simoglou, Christos P. Sotiriou, Nikolaos Blias Timing Errors in STA-based Gate-Level Simulation. Search on Bibsonomy ASYNC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yanqing Zhang 0002, Haoxing Ren, Brucek Khailany Opportunities for RTL and Gate Level Simulation using GPUs (Invited Talk). Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Qizhi Zhang, Jiaji He, Yiqiang Zhao, Xiaolong Guo A Formal Framework for Gate- Level Information Leakage Using Z3. Search on Bibsonomy AsianHOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Koki Ishida, Masamitsu Tanaka, Ikki Nagaoka, Takatsugu Ono, Satoshi Kawakami, Teruo Tanimoto, Akira Fujimaki, Koji Inoue 32 GHz 6.5 mW Gate-Level-Pipelined 4-Bit Processor using Superconductor Single-Flux-Quantum Logic. Search on Bibsonomy VLSI Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Tatsuki Kurihara, Kento Hasegawa, Nozomu Togawa Evaluation on Hardware-Trojan Detection at Gate-Level IP Cores Utilizing Machine Learning Methods. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Ahmet Cagri Bagbaba, Maksim Jenihhin, Raimund Ubar, Christian Sauer 0001 Representing Gate-Level SET Faults by Multiple SEU Faults at RTL. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Rongliang Fu, Zhimin Zhang 0004, Guang-Ming Tang, Junying Huang, Xiaochun Ye, Dongrui Fan, Ninghui Sun Design Automation Methodology from RTL to Gate-level Netlist and Schematic for RSFQ Logic Circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yuan Yao, Tarun Kathuria, Baris Ege, Patrick Schaumont Architecture Correlation Analysis (ACA): Identifying the Source of Side-channel Leakage at Gate-level. Search on Bibsonomy HOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Rachel Selina Rajarathnam, Yibo Lin, Yier Jin, David Z. Pan ReGDS: A Reverse Engineering Framework from GDSII to Gate-level Netlist. Search on Bibsonomy HOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Sheng-En David Lin, Dae Hyun Kim 0004 Wire Length Characteristics of Multi-Tier Gate-Level Monolithic 3D ICs. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yusuke Kimura, Amir Masoud Gharehbaghi, Masahiro Fujita Signal Selection Methods for Debugging Gate-Level Sequential Circuits. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Burçin Çakir, Sharad Malik Revealing Cluster Hierarchy in Gate-level ICs Using Block Diagrams and Cluster Estimates of Circuit Embeddings. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sebastian Wallat, Nils Albartus, Steffen Becker 0003, Max Hoffmann 0001, Maik Ender, Marc Fyrbiak, Adrian Drees, Sebastian Maaßen, Christof Paar Highway to HAL: Open-Sourcing the First Extendable Gate-Level Netlist Reverse Engineering Framework. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Maoyuan Qin, Wei Hu 0008, Xinmu Wang, Dejun Mu, Baolei Mao Theorem proof based gate level information flow tracking for hardware security verification. Search on Bibsonomy Comput. Secur. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Imran Hafeez Abbassi, Faiq Khalid, Osman Hasan, Awais Mehmood Kamboh Using gate-level side channel parameters for formally analyzing vulnerabilities in integrated circuits. Search on Bibsonomy Sci. Comput. Program. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Kai Huang 0002, Yun He, Xiaowen Jiang 0001 Holistic hardware Trojan design of trigger and payload at gate level with rare switching signals eliminated. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Tom J. Mannos, Brian Dziki, Moslema Sharif Fault Testing a Synthesizable Embedded Processor at Gate Level using UltraScale FPGA Emulation. Search on Bibsonomy FPGA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sisir Kumar Jena, Santosh Biswas, Jatindra Kumar Deka Systematic Design of Approximate Adder Using Significance Based Gate-Level Pruning (SGLP) for Image Processing Application. Search on Bibsonomy PReMI (2) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Aneesh Balakrishnan, Thomas Lange, Maximilien Glorieux, Dan Alexandrescu, Maksim Jenihhin The Validation of Graph Model-Based, Gate Level Low-Dimensional Feature Data for Machine Learning Applications. Search on Bibsonomy NORCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Jitka Kocnová, Zdenek Vasícek Impact of subcircuit selection on the efficiency of CGP-based optimization of gate-level circuits. Search on Bibsonomy GECCO (Companion) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Pengyong Zhao, Qiang Liu 0011 Density-based Clustering Method for Hardware Trojan Detection Based on Gate-level Structural Features. Search on Bibsonomy AsianHOST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Ikki Nagaoka, Masamitsu Tanaka, Koji Inoue, Akira Fujimaki A 48GHz 5.6mW Gate-Level-Pipelined Multiplier Using Single-Flux Quantum Logic. Search on Bibsonomy ISSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sebastian Wallat, Nils Albartus, Steffen Becker 0003, Max Hoffmann 0001, Maik Ender, Marc Fyrbiak, Adrian Drees, Sebastian Maaßen, Christof Paar Highway to HAL: open-sourcing the first extendable gate-level netlist reverse engineering framework. Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Aneesh Balakrishnan, Thomas Lange, Maximilien Glorieux, Dan Alexandrescu, Maksim Jenihhin Modeling Gate-Level Abstraction Hierarchy Using Graph Convolutional Neural Networks to Predict Functional De-Rating Factors. Search on Bibsonomy AHS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xu Liu, Alessandro Bernardini, Ulf Schlichtmann, Xing Zhou A Compact Model of Negative Bias Temperature Instability Suitable for Gate-Level Circuit Simulation. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Kohei Nozawa, Kento Hasegawa, Seira Hidano, Shinsaku Kiyomoto, Kazuo Hashimoto, Nozomu Togawa Adversarial Examples for Hardware-Trojan Detection at Gate-Level Netlists. Search on Bibsonomy CyberICPS/SECPRE/SPOSE/ADIoT@ESORICS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Swatilekha Majumdar A Novel Gate-Level On-Chip Crosstalk Noise Reduction Circuit for Deep Sub-micron Technology. Search on Bibsonomy VDAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Empirical Evaluation and Optimization of Hardware-Trojan Classification for Gate-Level Netlists Based on Multi-Layer Neural Networks. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Seyed Mohammad Sebt, Ahmad Patooghy, Hakem Beitollahi, Michel A. Kinsy Circuit enclaves susceptible to hardware Trojans insertion at gate-level designs. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Inki Hong, Dae Hyun Kim 0004 Analysis of Performance Benefits of Multitier Gate-Level Monolithic 3-D Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Jaya Dofe, Qiaoyan Yu Novel Dynamic State-Deflection Method for Gate-Level Design Obfuscation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Sheng-En David Lin, Dae Hyun Kim 0004 Detailed-Placement-Enabled Dynamic Power Optimization of Multitier Gate-Level Monolithic 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Ryan LaRose Overview and Comparison of Gate Level Quantum Software Platforms. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
13Shahrzad Keshavarz, Falk Schellenberg, Bastian Richter 0001, Christof Paar, Daniel E. Holcomb SAT-based Reverse Engineering of Gate-Level Schematics using Fault Injection and Probing. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
13Yu-Yun Dai, Robert K. Brayton Identifying Transparent Logic in Gate-Level Circuits. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Daniela Ritirc, Armin Biere, Manuel Kauers Improving and extending the algebraic approach for verifying gate-level multipliers. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Henry G. Dietz A Gate-Level Approach To Compiling For Quantum Computers. Search on Bibsonomy IGSC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Shahrzad Keshavarz, Falk Schellenberg, Bastian Richter 0001, Christof Paar, Daniel E. Holcomb SAT-based reverse engineering of gate-level schematics using fault injection and probing. Search on Bibsonomy HOST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Ankit Jindal, Binod Kumar 0001, Kanad Basu, Masahiro Fujita ELURA: A Methodology for Post-Silicon Gate-Level Error Localization Using Regression Analysis. Search on Bibsonomy VLSID The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Travis Meade, Shaojie Zhang, Yier Jin IP protection through gate-level netlist security enhancement. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Jeremy Schlachter, Vincent Camus, Krishna V. Palem, Christian C. Enz Design and Applications of Approximate Circuits by Gate-Level Pruning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Siyuan Xu, Benjamin Carrión Schäfer Exposing Approximate Computing Optimizations at Different Levels: From Behavioral to Gate-Level. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Trojan-Net Feature Extraction and Its Application to Hardware-Trojan Detection for Gate-Level Netlists Using Random Forest. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa A Hardware-Trojan Classification Method Using Machine Learning at Gate-Level Netlists Based on Trojan Features. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Sandeep Kumar Samal, Kambiz Samadi, Pratyush Kamal, Yang Du 0001, Sung Kyu Lim Full Chip Impact Study of Power Delivery Network Designs in Gate-Level Monolithic 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Elsa Gonsiorowski, Justin M. LaPre, Christopher D. Carothers Automatic Model Generation for Gate-Level Circuit PDES with Reverse Computation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Hassan Salmani COTD: Reference-Free Hardware Trojan Detection and Recovery Based on Controllability and Observability in Gate-Level Netlist. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Xin Xie, Yangyang Sun, Hongda Chen 0004, Yong Ding 0003 Hardware Trojans classification based on controllability and observability in gate-level netlist. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Thao Le 0001, Jia Di Golden reference matching for gate-level netlist functionality identification. Search on Bibsonomy MWSCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar 0002, John Sartori Software-based gate-level information flow security for IoT systems. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Abdul Rafay Khatri, Ali Hayek, Josef Börcsök Hardness Analysis and Instrumentation of Verilog Gate Level Code for FPGA-based Designs. Search on Bibsonomy ARC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Yu Tai, Wei Hu 0008, Dejun Mu, Baolei Mao, Lantian Guo, Maoyuan Qin A Simplifying Logic Approach for Gate Level Information Flow Tracking. Search on Bibsonomy ChinaCom (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Fuqiang Chen, Qiang Liu Single-triggered hardware Trojan identification based on gate-level circuit structural characteristics. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Trojan-feature extraction at gate-level netlists and its application to hardware-Trojan detection using random forest classifier. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Hardware Trojans classification for gate-level netlists using multi-layer neural networks. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Yiting Chen, Dae Hyun Kim 0004 A legalization algorithm for multi-tier gate-level monolithic three-dimensional integrated circuits. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Arkadiusz W. Luczyk A method to manage unknown values generation and propagation during gate level simulations of multi-clock digital circuits. Search on Bibsonomy MIXDES The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Andrew Becker, Wei Hu 0008, Yu Tai, Philip Brisk, Ryan Kastner, Paolo Ienne Arbitrary Precision and Complexity Tradeoffs for Gate-Level Information Flow Tracking. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Masaru Oya, Noritaka Yamashita, Toshihiko Okamura, Yukiyasu Tsunoo, Masao Yanagisawa, Nozomu Togawa Hardware-Trojans Rank: Quantitative Evaluation of Security Threats at Gate-Level Netlists by Pattern Matching. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Wei Hu 0008, Baolei Mao, Jason Oberg, Ryan Kastner Detecting Hardware Trojans with Gate-Level Information-Flow Tracking. Search on Bibsonomy Computer The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Yu Tai, Wei Hu 0008, Huixiang Zhang, Dejun Mu, Xing-Li Huang Generating optimized gate level information flow tracking logic for enforcing multilevel security. Search on Bibsonomy Autom. Control. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Jaya Dofe, Yuejun Zhang, Qiaoyan Yu DSD: A Dynamic State-Deflection Method for Gate-Level Netlist Obfuscation. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13A. V. Lapin, D. A. Bulakh, A. V. Korshunov, G. G. Kazennov The use of Petri nets as the basis of algorithm for gate level digital circuits simulation. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Anton Karputkin, Jaan Raik A synthesis-agnostic behavioral fault model for high gate-level fault coverage. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
13Ghaith Tarawneh, Andrey Mokhov, Alex Yakovlev Formal verification of clock domain crossing using gate-level models of metastable flip-flops. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
13Bogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, Thomas Kruse, Cristiano Novello Gate-Level-Accurate Fault-Effect Analysis at Virtual-Prototype Speed. Search on Bibsonomy SAFECOMP Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Imran Hafeez Abbasi, Faiq Khalid Lodhi, Awais Mehmood Kamboh, Osman Hasan Formal Verification of Gate-Level Multiple Side Channel Parameters to Detect Hardware Trojans. Search on Bibsonomy FTSCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Parameswaran Ramanathan, Kewal K. Saluja Crypt-Delay: Encrypting IP Cores with Capabilities for Gate-level Logic and Delay Simulations. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Bon Woong Ku, Peter Debacker, Dragomir Milojevic, Praveen Raghavan, Diederik Verkest, Aaron Thean, Sung Kyu Lim Physical Design Solutions to Tackle FEOL/BEOL Degradation in Gate-level Monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Travis Meade, Yier Jin, Mark M. Tehranipoor, Shaojie Zhang Gate-level netlist reverse engineering for hardware security: Control logic register identification. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Kyle Juretus, Ioannis Savidis Reducing logic encryption overhead through gate level key insertion. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Kento Hasegawa, Masaru Oya, Masao Yanagisawa, Nozomu Togawa Hardware Trojans classification for gate-level netlists based on machine learning. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Masaru Oya, Masao Yanagisawa, Nozomu Togawa Redesign for untrusted gate-level netlists. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Thiago Copetti, Guilherme Medeiros Machado, Leticia Bolzani Poehls, Fabian Vargas 0001, Sergei Kostin, Maksim Jenihhin, Jaan Raik, Raimund Ubar Gate-level modelling of NBTI-induced delays under process variations. Search on Bibsonomy LATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Kyle Juretus, Ioannis Savidis Reduced Overhead Gate Level Logic Encryption. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Thao Le 0001, Jia Di, Mark M. Tehranipoor, Domenic Forte, Lei Wang 0003 Tracking Data Flow at Gate-Level through Structural Checking. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Sheng-En David Lin, Partha Pratim Pande, Dae Hyun Kim 0004 Optimization of dynamic power consumption in multi-tier gate-level monolithic 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13MohammadSadegh Sadri, Andrea Bartolini, Luca Benini Temperature variation aware multi-scale delay, power and thermal analysis at RT and gate level. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1066 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license