The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1991 (16) 1992-1995 (20) 1996-1998 (26) 1999 (18) 2000 (21) 2001-2002 (27) 2003 (42) 2004 (48) 2005 (53) 2006 (67) 2007 (59) 2008 (54) 2009 (33) 2010-2011 (22) 2012-2013 (17) 2014-2015 (16) 2016-2018 (22) 2019-2020 (19) 2021-2022 (27) 2023-2024 (18)
Publication types (Num. hits)
article(144) incollection(4) inproceedings(469) phdthesis(8)
Venues (Conferences, Journals, ...)
FPL(34) FCCM(13) DATE(12) IPDPS(12) IEEE Trans. Computers(11) ISCAS(11) AHS(10) ETFA(10) CoRR(9) ARC(8) FPGA(8) VTC Spring(8) DAC(7) VLSI Design(7) ICRA(6) CASES(5) More (+10 of total 310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 560 occurrences of 366 keywords

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Wentai Liu, Thomas H. Hildebrandt, Ralph K. Cavin III Hamiltonian Cycles in the Shuffle-Exchange Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF optimal embedding, shuffle permutation, exact equation, shuffle-exchange connected array, spare processors, fault-tolerance, parallel processing, fault tolerant computing, reconfigurability, redundancy, multiprocessor interconnection networks, directed graphs, Hamiltonian cycles, shuffle-exchange network
19Peter J. Varman, I. V. Ramakrishnan Optimal Matrix Multiplication on Fault-Tolerant VLSI Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF optimal matrix multiplication, fault-tolerant VLSI arrays, optimal-time algorithm, 2-D systolic algorithm, simulation, VLSI, fault tolerant computing, reconfigurability, testability, clock cycle
19Sun-Yuan Kung, Shiann-Ning Jean, Chih-Wei Jim Chang Fault-Tolerant Array Processors Using Single-Track Switches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fabrication time processing, fault tolerant array processors, single-track switches, array grid model, reconfigurability theorem, run-time processing, propagation time, parallel processing, graph theory, graph theory, fault tolerant computing, yield enhancement
19Woei Lin, Chuan-lin Wu A Distributed Resource Management Mechanism for a Partitionable Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF homogeneous processing element pool, distributed resource management mechanism, partitionable multiprocessor system, physically independent subsystems, performance evaluation, parallel processing, parallel computations, parallel architectures, distributed processing, reconfigurability, multistage interconnection networks, operating systems (computers), performance simulation
19Peter J. Varman, I. V. Ramakrishnan, Donald S. Fussell A Robust Matrix-Multiplication Array. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF VLSI, robust, reconfigurability, matrix multiplication, Array processor, wafer-scale integration
10Carlo Bertolli, Daniele Buono, Gabriele Mencagli, Massimo Torquati, Marco Vanneschi, Matteo Mordacchini, Franco Maria Nardini Resource discovery support for time-critical adaptive applications. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pervasive grid, high-performance computing, autonomic computing, resource discovery
10Yibo Chen, Jishen Zhao, Yuan Xie 0001 3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-volatile FPGA, phase-change memory, 3D IC
10Brahim Hamid, Fatma Krichen Model-based engineering for dynamic reconfiguration in DRTES. Search on Bibsonomy ECSA Companion Volume The full citation details ... 2010 DBLP  DOI  BibTeX  RDF model driven engineering, dynamic reconfiguration, meta-model, UML profiles, component-based architecture, distributed real time embedded systems
10Ganesh Venkatesh, Jack Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson, Michael Bedford Taylor Conservation cores: reducing the energy of mature computations. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF conservation core, heterogeneous many-core, utilization wall, patching
10José A. Ceroni Economic Rationalization of Automation Projects. Search on Bibsonomy Handbook of Automation The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Gérard Morel, Jean-François Pétin, Timothy L. Johnson Reliability, Maintainability, and Safety. Search on Bibsonomy Handbook of Automation The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Christos-Savvas Bouganis, Sung-Boem Park, George A. Constantinides, Peter Y. K. Cheung Synthesis and Optimization of 2D Filter Designs for Heterogeneous FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2D filter design, FPGA, Singular Value Decomposition, reconfigurable logic
10Paulo Leitão Holonic Rationale and Bio-inspiration on Design of Complex Emergent and Evolvable Systems. Search on Bibsonomy Trans. Large Scale Data Knowl. Centered Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Self-organization, Emergent Behavior, Bio-inspiration, Holonic Manufacturing Systems
10Muzaffer O. Simsir, Srihari Cadambi, Franjo Ivancic, Martin Rötteler, Niraj K. Jha A hybrid nano-CMOS architecture for defect and fault tolerance. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanotechnology, Defect tolerance, nanowires
10Shuo Wang, Jianwei Dai, El-Sayed A. M. Hasaneen, Lei Wang 0003, Faquir C. Jain Utilizing quantum dot transistors with programmable threshold voltages for low-power mobile computing. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF threshold voltage and quantum dot transistor, Low power
10Bert Geelen, Vissarion Ferentinos, Francky Catthoor, Spyridon Toulatos, Gauthier Lafruit, Thanos Stouraitis, Rudy Lauwereins, Diederik Verkest Exploiting Varying Resource Requirements in Wavelet-based Applications in Dynamic Execution Environments. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Wavelets, Dynamism, Loop transformations, Memory optimization
10Laurent Gatet, Hélène Tap-Béteille, Francis Bony Comparison Between Analog and Digital Neural Network Implementations for Range-Finding Applications. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Vipin Balachandran, Deepak P 0001, Deepak Khemani Interpretable and reconfigurable clustering of document datasets by deriving word-based rules. Search on Bibsonomy CIKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interpretable clustering
10Douglas Brooks, Ayanna M. Howard Mobility reconfiguration for terrain exploration using passive perception. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Paulo Leitão Holonic Rationale and Self-organization on Design of Complex Evolvable Systems. Search on Bibsonomy HoloMAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Biological-inspired Theories, Self-organization, Emergent behavior, Holonic Manufacturing Systems
10Amin Ansari, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke ZerehCache: armoring cache architectures in high defect density technologies. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, process variation, manufacturing yield
10Fabio Cancare, Marco D. Santambrogio, Donatella Sciuto An application-centered design flow for self reconfigurable systems implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Jochen Strunk, Toni Volkmer, Klaus Stephan, Wolfgang Rehm, Heiko Schick Impact of run-time reconfiguration on design and speed - A case study based on a grid of run-time reconfigurable modules inside a FPGA. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Rainer Buchty, David Kramer, Fabian Nowak, Wolfgang Karl A Seamless Virtualization Approach for Transparent Dynamical Function Mapping Targeting Heterogeneous and Reconfigurable Systems. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Ali Ahmadinia, Tughrul Arslan, Hernando Fernandez-Canque Optimization of Reconfigurable Multi-core SOCs for Multi-standard Applications. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10M. Haykel Ben Jamaa, Gianfranco Cerofolini, Yusuf Leblebici, Giovanni De Micheli Complete nanowire crossbar framework optimized for the multi-spacer patterning technique. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MSPT, spacer technique, decoder, emerging technologies, gray code, crossbars, nanowires
10N. Pete Sedcole, Peter Y. K. Cheung Parametric Yield Modeling and Simulations of FPGA Circuits Considering Within-Die Delay Variations. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modeling, FPGA, Delay, reconfiguration, process variation, yield
10Philip Y. Paik, Vamsee K. Pamula, Krishnendu Chakrabarty Adaptive Cooling of Integrated Circuits Using Digital Microfluidics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jie Tao 0001, Marcel Kunze, Fabian Nowak, Rainer Buchty, Wolfgang Karl Performance Advantage of Reconfigurable Cache Design on Multicore Processor Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, Reconfigurable architecture, Multicore processor, Cache performance
10Anne-Lise Gehin, Marcel Staroswiecki Reconfiguration Analysis Using Generic Component Models. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Paulo Leitão, Francisco J. Restivo Implementation of a Holonic Control System in a Flexible Manufacturing System. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Ozgur Sinanoglu Scan Architecture With Align-Encode. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Emre Yetginer, Ezhan Karasan Dynamic Wavelength Allocation in IP/WDM Metro Access Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Luis Miguel Contreras-Medina, René de Jesús Romero-Troncoso, Jose de Jesus Rangel-Magdaleno, Jesus Roberto Millan-Almaraz FPGA based multiple-channel vibration analyzer for industrial applications with reconfigurable post-processing capabilities for automatic failure detection on machinery. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, embedded systems, vibration analysis
10Narges Bani Asadi, Teresa H. Meng, Wing Hung Wong Reconfigurable computing for learning Bayesian networks. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bayesian networks, reconfigurable computing, Markov chain Monte Carlo
10Harald Heinecke, Werner Damm, Bernhard Josko, Alexander Metzner, Hermann Kopetz, Alberto L. Sangiovanni-Vincentelli, Marco Di Natale Software Components for Reliable Automotive Systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Wenjing Rao, Alex Orailoglu Towards fault tolerant parallel prefix adders in nanoelectronic systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Laurent Alaus, Dominique Noguet, Jacques Palicot A Reconfigurable LFSR for Tri-standard SDR Transceiver, Architecture and Complexity Analysis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gwo Giun Lee, He-Yuan Lin, Ming-Jiun Wang, Bo-Han Chen, Yuan-Long Cheng On the verification of multi-standard SoC'S for reconfigurable video coding based on algorithm/architecture co-exploration. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Bert Geelen, Aris Ferentinos, Francky Catthoor, Gauthier Lafruit, Diederik Verkest Spatial locality trade-offs of wavelet-based applications in dynamic execution environments. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Stefania Gnesi Software Engineering: Ugo Montanari's Main Contributions and Introduction to the Section. Search on Bibsonomy Concurrency, Graphs and Models The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Young-Su Kwon, Bontae Koo, Nak-Woong Eum Application-adaptive reconfiguration of memory address shuffler for FPGA-embedded instruction-set processor. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jim Harkin, Fearghal Morgan, Steve Hall, Piotr Dudek, Thomas Dowrick, Liam McDaid Reconfigurable platforms and the challenges for large-scale implementations of spiking neural networks. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Claudio Brunelli, Fabio Garzia, Jari Nurmi, Fabio Campi, Damien Picard Reconfigurable hardware: The holy grail of matching performance with programming productivity. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Karel Bruneel, Dirk Stroobandt Automatic generation of run-time parameterizable configurations. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Florian Dittmann 0001, Stefan Frank, Simon Oberthür Algorithmic skeletons for the design of partially reconfigurable systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Thomas Jacob Koickal, Luiz Carlos Gouveia, Alister Hamilton Bio-inspired Event Coded Configurable Analog Circuit Block. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Andres Upegui, Andrés Pérez-Uribe, Yann Thoma, Eduardo Sanchez Neural Development on the Ubichip by Means of Dynamic Routing Mechanisms. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ontogenetic circuits, synaptogenetic circuits, dynamic topology, neural plasticity, reconfigurable circuit, dynamic routing
10Emilio Castillo, César Pedraza, Javier Castillo, Cristobal Camarero, José Luis Bosque, Rafael Menéndez de Llano, José Ignacio Martínez SMILE: Scientific Parallel Multiprocessing based on Low-Cost Reconfigurable Hardware. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chalermpol Saiprasert, Christos-Savvas Bouganis, George A. Constantinides Multivariate Gaussian Random Number Generator Targeting Specific Resource Utilization in an FPGA. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Resource Constraint, Random Numbers, Multivariate Gaussian Distribution
10Aveek Dutta, Jeff Fifield, Graham Schelle, Dirk Grunwald, Douglas C. Sicker An intelligent physical layer for cognitive radio networks. Search on Bibsonomy WICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Francesco Bruschi, Vincenzo Rana, Donatella Sciuto An architecture for dynamically reconfigurable real time audio processing systems. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gerald L. Fudge, Mark A. Chivers, Sujit Ravindran, Ross E. Bland, Phillip E. Pace A reconfigurable direct RF receiver architecture. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mark Hammerquist, Roman L. Lysecky Design space exploration for application specific FPGAS in system-on-a-chip designs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chenggong Lv, Jun Wang 0059, Lu Liu, Weijia You The Separation of Duty with Privilege Calculus. Search on Bibsonomy RSKT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mohammad Hosseinabady, José L. Núñez-Yáñez Fault-tolerant dynamically reconfigurable NoC-based SoC. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mythri Alle, Keshavan Varadarajan, Ramesh C. Ramesh, Joseph Nimmy, Alexander Fell, Adarsha Rao, S. K. Nandy 0001, Ranjani Narayan Synthesis of application accelerators on Runtime Reconfigurable Hardware. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mahdi Niamanesh, Rasool Jalili A Formalism for Recongurability Analysis in Distributed Non-Blocking Components. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Paul Grace, Gordon S. Blair, Carlos A. Flores-Cortés, Nelly Bencomo Engineering complex adaptations in highly heterogeneous distributed systems. Search on Bibsonomy Autonomics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptation, middleware, reflection, heterogeneity
10Ivanka Terzic, Munir Merdan, Alois Zoitl, Ingo Hegny Modular assembly machine - ontology based concept. Search on Bibsonomy ETFA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Paul Grace, Danny Hughes 0001, Barry Porter, Gordon S. Blair, Geoff Coulson, François Taïani Experiences with open overlays: a middleware approach to network heterogeneity. Search on Bibsonomy EuroSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WSN, middleware, framework, overlay network
10Nele Mentens, Benedikt Gierlichs, Ingrid Verbauwhede Power and Fault Analysis Resistance in Hardware through Dynamic Reconfiguration. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Fariaz Karim A peer-to-peer approach to providing QoS monitoring for web service activities. Search on Bibsonomy Middleware (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QoS, web service, middleware, P2P
10Yang Cao, Hoda A. ElMaraghy, Ahmed Azab Reconfigurable Control Structure for Robots in Assembly. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reconfigurable control, Supervisory Control Switching System, Robotic system
10Ali Ahmadinia Optimal Free-Space Management and Routing-Conscious Dynamic Placement for Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF free-space manager, routing-conscious placement, line-sweep technique, optimal runtime, field-programmable gate array (FPGA), lower bounds, Reconfigurable hardware, geometric optimization, module placement
10Mehdi Baradaran Tahoori, Subhasish Mitra Application-Dependent Delay Testing of FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ming-Yung Ko, Claudiu Zissulescu, Sebastian Puthenpurayil, Shuvra S. Bhattacharyya, Bart Kienhuis, Ed F. Deprettere Parameterized Looped Schedules for Compact Representation of Execution Sequences in DSP Hardware and Software Implementation. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Angela L. Chiu, Gagan L. Choudhury, Robert D. Doverspike, Guangzhi Li Restoration Design in IP over Reconfigurable All-Optical Networks. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF IP-over-Optical, ULH, ROADM, reconfigurable all-optical network, wavelength service, shared mesh restoration, restoration overbuild, traffic engineering, fast reroute, IP service
10Proshanta Saha, Tarek A. El-Ghazawi A Methodology for Automating Co-Scheduling for Reconfigurable Computing Systems. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Farhad Aghili, Kourosh Parsa Configuration Control and Recalibration of a New Reconfigurable Robot. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jürgen Becker 0001, Adam Donlin, Michael Hübner 0001 New tool support and architectures in adaptive reconfigurable computing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Davide Pandini, Giuseppe Desoli, Alessandro Cremonesi Computing and design for software and silicon manufacturing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Santiago Rodriguez-Parera, André Bourdoux, François Horlin, Jordi Carrabina, Liesbet Van der Perre Front-End ADC Requirements for Uniform Bandpass Sampling in SDR. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jie Tao 0001, Kim D. Hoàng, Wolfgang Karl CMP Cache Architecture and the OpenMP Performance. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Grégory Gailliard, Eric Nicollet, Michel Sarlotte, François Verdier Transaction level modelling of SCA compliant software defined radio waveforms and platforms PIM/PSM. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhuan Ye, John Grosspietsch, Gokhan Memik Interactive presentation: An FPGA based all-digital transmitter with radio frequency output for software defined radio. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Tiong Aik Koh, Boon Chong Ng, Yong Liang Guan 0001, Tiffany Jing Li Reconfigurable FPGA Implementation of Product Accumulate Codes. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Thomas Schmid 0002, Oussama Sekkat, Mani B. Srivastava An experimental study of network performance impact of increased latency in software defined radios. Search on Bibsonomy WINTECH The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GNU radio, IEEE 802.15.4, software defined radio
10Gareth W. Morris, Matthew Aubury Design Space Exploration of the European Option Benchmark Using HyperStreams. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xinming Duan, Yulu Yang, Mei Yang, Lei Li, Yingtao Jiang Topology and Binary Routing Schemes of A PRDT-Based NoC. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ashok Kumar Tummala, Parimal Patel Distributed IDS using Reconfigurable Hardware. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Giovanni Bartolomeo, Stefano Salsano, Nicola Blefari-Melazzi Reconfigurable Systems with a User-Centric Focus. Search on Bibsonomy SAINT Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Nahar Sultana, Ki-Moon Choi, Eui-nam Huh Application Driven Cluster Based Group Key Management with Identifier in Mobile Wireless Sensor Network. Search on Bibsonomy FGCN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Christos-Savvas Bouganis, Iosifina Pournara, Peter Y. K. Cheung Efficient Mapping of Dimensionality Reduction Designs onto Heterogeneous FPGAs. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Proshanta Saha, Tarek A. El-Ghazawi Software/Hardware Co-Scheduling for Reconfigurable Computing Systems. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Arne Hamann, Razvan Racu, Rolf Ernst Methods for multi-dimensional robustness optimization in complex embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Soheil Saifipoor, Behrouz Tork Ladani, Naser Nematbakhsh A Dynamic Reconfigurable Web Service Composition Framework Using Reo Coordination Language. Search on Bibsonomy ECOWS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Marco D. Santambrogio, Seda Ogrenci Memik, Vincenzo Rana, Umut A. Acar, Donatella Sciuto A novel SoC design methodology combining adaptive software and reconfigurable hardware. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Onur Turkcu, Suresh Subramaniam 0001 Blocking Analysis of Limited-Reconfigurable Optical Networks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ashwin Sampath, Hui Dai, Haitao Zheng 0001, Ben Y. Zhao Multi-channel Jamming Attacks using Cognitive Radios. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10R. Mahesh 0001, A. Prasad Vinod 0001 Frequency Response Masking based Reconfigurable Channel Filters for Software Radio Receivers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Christian Wicpalek, Thomas Mayer 0003, Linus Maurer, U. Vollenbruch, Tindaro Pittorino, Andreas Springer Analysis of Spurious Emission and In-Band Phase Noise of an All Digital Phase Locked Loop for RF Synthesis using a Frequency Discriminator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Vincent Brost, Fan Yang 0019, Michel Paindavoine A modular VLIW Processor. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Gianmarco Girau, Andrea Tomatis, Fabio Dovis, Paolo Mulassano Efficient Software Defined Radio Implementations of GNSS Receivers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Somnath Paul, Rajat Subhra Chakraborty, Swarup Bhunia Defect-Aware Configurable Computing in Nanoscale Crossbar for Improved Yield. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ould-cheikh Mourad, Si-Mohamed Lotfy, Noureddine Mehallegue, Ahmed Bouridane, Camel Tanougast AES Embedded Hardware Implementation. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yong-Kyu Jung Fault-recovery Non-FPGA-based Adaptable Computing System Design. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ahmed O. El-Rayis, Tughrul Arslan, Ahmet T. Erdogan High Performance Embedded Reconfigurable Concatenated Convolution- Puncturing Fabric for 802.16. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Björn Osterloh, Harald Michalik, Björn Fiethe, Frank Bubenhagen Enhancements of reconfigurable System-on-Chip Data Processing Units for Space Application. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hsiao-Lan Wei, Eric T. G. Wang Creating Strategic Value from Supply Chain Visibility- the Dynamic Capabilities View. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license