|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 7106 occurrences of 3291 keywords
|
|
|
Results
Found 16717 publication records. Showing 16717 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Josep M. Pujol, Vijay Erramilli, Georgos Siganos, Xiaoyuan Yang 0001, Nikolaos Laoutaris, Parminder Chhabra, Pablo Rodriguez 0001 |
The little engine(s) that could: scaling online social networks. |
SIGCOMM |
2010 |
DBLP DOI BibTeX RDF |
social networks, scalability, partition, replication |
20 | Abdelaziz Amraoui, Andrea Montanari, Thomas J. Richardson, Rüdiger L. Urbanke |
Finite-Length Scaling for Iteratively Decoded LDPC Ensembles. |
IEEE Trans. Inf. Theory |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Debora Botturi, Stefano Galvan, Marco Vicentini, Cristian Secchi |
Perception-centric force scaling function for stable bilateral interaction. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Jiang Zhang 0006 |
Allometric Scaling of Weighted Food Webs. |
Complex (2) |
2009 |
DBLP DOI BibTeX RDF |
allometry, power law relations, energy flow, weighted network |
20 | Yu-Wei Yang, Katherine Shu-Min Li |
Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Bin Lin 0002, Arindam Mallik, Peter A. Dinda, Gokhan Memik, Robert P. Dick |
User- and process-driven dynamic voltage and frequency scaling. |
ISPASS |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Xinshan Zhu, Zhi Tang 0001 |
Improved quantization index modulation watermarking robust against amplitude scaling and constant change distortions. |
ICIP |
2008 |
DBLP DOI BibTeX RDF |
|
20 | George Forman |
BNS feature scaling: an improved representation over tf-idf for svm text classification. |
CIKM |
2008 |
DBLP DOI BibTeX RDF |
tf*idf text representation, machine learning, support vector machine, feature selection, text classification, feature weighting |
20 | Tak Wah Lam, Lap-Kei Lee, Isaac Kar-Keung To, Prudence W. H. Wong |
Speed Scaling Functions for Flow Time Scheduling Based on Active Job Count. |
ESA |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Radoslaw Pytlak |
Preconditioned conjugate gradient algorithms with column scaling. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Shi Zaifeng, Xiao Xia, Shao Kai, Jiao Yang |
A Novel Nonlinear Scaling Method for Video Images. |
CSSE (6) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Ankur Gupta, Rajat Chauhan, Vinod Menezes, Vikas Narang, H. M. Roopashree |
A Robust Level-Shifter Design for Adaptive Voltage Scaling. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Sujan Pandey, Manfred Glesner |
Simultaneous On-Chip Bus Synthesis and Voltage Scaling Under Random On-Chip Data Traffic. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Ayfer Özgür, Olivier Lévêque, David N. C. Tse |
Hierarchical Cooperation Achieves Optimal Capacity Scaling in Ad Hoc Networks. |
IEEE Trans. Inf. Theory |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Shuchin Aeron, Venkatesh Saligrama |
Wireless Ad Hoc Networks: Strategies and Scaling Laws for the Fixed SNR Regime. |
IEEE Trans. Inf. Theory |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Jen-Hui Chuang, Horng-Horng Lin, Szu-Hui Wu |
A Pattern-Based Inter-/Extra-Polation Approach for Image Scaling. |
ICIP (4) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Jonathan K. Holm, Dongjun Lee, Mark W. Spong |
Time-Scaling Trajectories of Passive-Dynamic Bipedal Robots. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Sylvain Miermont, Pascal Vivet, Marc Renaudin |
A Power Supply Selector for Energy- and Area-Efficient Local Dynamic Voltage Scaling. |
PATMOS |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Michele Garetto, Paolo Giaccone, Emilio Leonardi |
Capacity scaling in delay tolerant networks with heterogeneous mobile nodes. |
MobiHoc |
2007 |
DBLP DOI BibTeX RDF |
routing, delay tolerant networking, network capacity |
20 | Nisheeth K. Vishnoi |
The Impact of Noise on the Scaling of Collectives: The Nearest Neighbor Model [Extended Abstract]. |
HiPC |
2007 |
DBLP DOI BibTeX RDF |
|
20 | David C. Snowdon, Stefan M. Petters, Gernot Heiser |
Accurate on-line prediction of processor and memoryenergy usage under voltage scaling. |
EMSOFT |
2007 |
DBLP DOI BibTeX RDF |
PMC, power, energy, DVS, DVFS, performance counter |
20 | Youngjin Cho, Younghyun Kim 0001, Naehyuck Chang |
PVS: passive voltage scaling for wireless sensor networks. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
embedded system, low-power, wireless, DVS, PVS, sensor node |
20 | Jie Deng, Keunwoo Kim, Ching-Te Chuang, H.-S. Philip Wong |
Device Footprint Scaling for Ultra Thin Body Fully Depleted SOI. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Hujun Yin |
Connection between Self-Organizing Maps and Metric Multidimensional Scaling. |
IJCNN |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Chang-Ching Yeh, Kuei-Chung Chang, Tien-Fu Chen, Chingwei Yeh |
Reducing Branch Misprediction Penalties Via Adaptive Pipeline Scaling. |
HiPEAC |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Ali Chehab, Saurabh Patel, Rafic Z. Makki |
Scaling of iDDT Test Methods for Random Logic Circuits. |
J. Electron. Test. |
2006 |
DBLP DOI BibTeX RDF |
dynamic power supply current, design for current testability, resistive opens, resistive bridges, very deep sub-micron technologies, VDSM, fault simulation |
20 | Tolga Çiloglu |
New initialization methods for discrete coefficient FIR filter design with coefficient scaling and the use of scale factor in the design process. |
IEEE Trans. Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Jiaping Wang, Kun Xu 0003, Kun Zhou 0001, Stephen Lin 0001, Shi-Min Hu 0001, Baining Guo |
Spherical harmonics scaling. |
Vis. Comput. |
2006 |
DBLP DOI BibTeX RDF |
Signal processing, Rendering, Illumination, Spherical harmonics |
20 | Xin Xu, Ying Lu 0001, Anthony K. H. Tung, Wei Wang 0010 |
Mining Shifting-and-Scaling Co-Regulation Patterns on Gene Expression Profiles. |
ICDE |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Pingkang Li, Xiuxia Du |
A GA Optimization for FLC with Its Rule Base and Scaling Factors Adjustment. |
ICIC (2) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Taewhan Kim |
Application-Driven Low-Power Techniques Using Dynamic Voltage Scaling. |
RTCSA |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Hong Peng 0001, Jun Wang 0013 |
Support Vector Regression Based on Scaling Reproducing Kernel for Black-Box System Identification. |
ISDA (1) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Shunsuke Yamaki, Masahide Abe, Masayuki Kawamata |
A novel approach to L2-sensitivity minimization of digital filters subject to L2-scaling constraints. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Stelios Krinidis, Vassilios Chatzis |
Frequency-based object orientation and scaling determination. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Padma Apparao, Ravi R. Iyer 0001, Donald Newell |
Architectural Characterization of VM Scaling on an SMP Machine. |
ISPA Workshops |
2006 |
DBLP DOI BibTeX RDF |
architectural characterization, Java, performance, scalability, virtualization, servers, Xen |
20 | Min Yeol Lim, Vincent W. Freeh, David K. Lowenthal |
MPI and communication - Adaptive, transparent frequency and voltage scaling of communication phases in MPI programs. |
SC |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Po-Kuan Huang, Soheil Ghiasi |
Leakage-aware intraprogram voltage scaling for embedded processors. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Satoko Yamakawa, Hideo Fujimoto, Shin Manabe, Yasuharu Kobayashi |
The necessary conditions of the scaling ratio in master-slave systems based on Human Difference limen of force sense. |
IEEE Trans. Syst. Man Cybern. Part A |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Amjad Mohsen, Richard Hofmann |
Power-Aware Scheduling for Hard Real-Time Embedded Systems Using Voltage-Scaling Enabled Architectures. |
PATMOS |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Cristiana Amza, Alan L. Cox, Willy Zwaenepoel |
A Comparative Evaluation of Transparent Scaling Techniques for Dynamic Content Servers. |
ICDE |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Uwe Schimank |
From "Clean" Mechanisms to "Dirty" Models: Methodological Perspectives of an Up-Scaling of Actor Constellations. |
Socionics |
2005 |
DBLP DOI BibTeX RDF |
|
20 | David Fitrio, Jugdutt Singh, Aleksandar Stojcevski |
Dynamic Voltage Scaling for Power Aware Fast Fourier Transform (FFT) Processor. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Eero Aho, Jarno Vanne, Kimmo Kuusilinna, Timo Hämäläinen 0001 |
Block-level parallel processing for scaling evenly divisible frames. |
ISCAS (2) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Yuan Cai, Sudhakar M. Reddy, Irith Pomeranz, Bashir M. Al-Hashimi |
Battery-aware dynamic voltage scaling in multiprocessor embedded system. |
ISCAS (1) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Yeong Rak Seong, Min-Sik Gong, Ha Ryoung Oh, Cheol-Hoon Lee |
Dynamic Voltage Scaling for Real-Time Scheduling of Multimedia Tasks. |
PCM (2) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Kai Wang, Jing Huang, Zhongcheng Li, Xiaohong Wang, Feng Yang, Jingping Bi |
Scaling Behavior of Internet Packet Delay Dynamics Based on Small-interval Measurements. |
LCN |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Xiangyang Ji, Debin Zhao, Wen Gao 0001, Yan Lu 0001, Siwei Ma |
New scaling technique for direct mode coding in B pictures. |
ICIP |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Wanghong Yuan, Klara Nahrstedt |
Practical voltage scaling for mobile multimedia devices. |
ACM Multimedia |
2004 |
DBLP DOI BibTeX RDF |
mobile computing, multimedia, power management |
20 | Ravindra Jejurikar, Rajesh K. Gupta 0001 |
Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
critical speed, lowpower, procrastication, resource standby energy, real-time systems, DVS, EDF scheduling |
20 | Catherine Edwards, Bhavani Raskutti |
The Effect of Attribute Scaling on the Performance of Support Vector Machines. |
Australian Conference on Artificial Intelligence |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Uwe Meyer-Bäse, Thanos Stouraitis |
New power-of-2 RNS scaling scheme for cell-based IC design. |
IEEE Trans. Very Large Scale Integr. Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Hai Li, Chen-Yong Cher, T. N. Vijaykumar, Kaushik Roy 0001 |
VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power. |
MICRO |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Ala' Qadi, Steve Goddard, Shane Farritor |
A Dynamic Voltage Scaling Algorithm for Sporadic Tasks. |
RTSS |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Thomas Lenart, Viktor Öwall |
A 2048 complex point FFT processor using a novel data scaling approach. |
ISCAS (4) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Carsten Ernemann, Baiyi Song, Ramin Yahyapour |
Scaling of Workload Traces. |
JSSPP |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Yung-Hsiang Lu, Luca Benini, Giovanni De Micheli |
Dynamic frequency scaling with buffer insertion for mixed workloads. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Jiong Luo, Niraj K. Jha |
Low Power Distributed Embedded Systems: Dynamic Voltage Scaling and Synthesis. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Chingwei Yeh, Yin-Shuin Kang |
Cell-based layout techniques supporting gate-level voltage scaling for low power. |
IEEE Trans. Very Large Scale Integr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Chingwei Yeh, Yin-Shuin Kang |
Cell-based layout techniques supporting gate-level voltage scaling for low power. |
IEEE Trans. Very Large Scale Integr. Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Rob A. Rutenbar, Cheming Hu, Mark Horowitz, Stephen Y. Chow |
Life at the end of CMOS scaling (and beyond) (panel session) (abstract only). |
DAC |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Michael Nicolaidis, Yervant Zorian |
Scaling Deeper to Submicron: On-Line Testing to the Rescue. |
DATE |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Wei Zhao, Marc Willebeek-LeMair, Prasoon Tiwari |
Efficient Adaptive Media Scaling and Streaming of Layered Multimedia in Heterogeneous Environment. |
ICMCS, Vol. 2 |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Arun Raghupathy, Pohsiang Hsu, K. J. Ray Liu, Nitin Chandrachoodan |
VLSI architecture and design for high performance adaptive video scaling. |
ISCAS (4) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Pankaj Saxena, Dan G. Pavel, Juan Carlos Quintana, Barry Horwitz |
An Automatic Threshold-Based Scaling Method for Enhancing the Usefulness of Tc-HMPAO SPECT in the Diagnosis of Alzheimer's Disease. |
MICCAI |
1998 |
DBLP DOI BibTeX RDF |
|
20 | J. Will Specks, Walter L. Engl |
Computer-aided design and scaling of deep submicron CMOS. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1993 |
DBLP DOI BibTeX RDF |
|
19 | Graham Phillips, Scott Shenker, Hongsuda Tangmunarunkit |
Scaling of Multicast Trees: Comments on the Chuang-Sirbu Scaling Law. |
SIGCOMM |
1999 |
DBLP DOI BibTeX RDF |
|
18 | Shan Zhou, Lei Ying 0001, Srikanta Tirthapura |
Delay, cost and infrastructure tradeoff of epidemic routing in mobile sensor networks. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
mobile sensor networks, scaling law, epidemic routing |
18 | Sani R. Nassif, Kevin J. Nowka |
Physical design challenges beyond the 22nm node. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
technology, scaling |
18 | Fernando Mateo, Dusan Sovilj, Rafael Gadea Gironés, Amaury Lendasse |
RCGA-S/RCGA-SP Methods to Minimize the Delta Test for Regression Tasks. |
IWANN (1) |
2009 |
DBLP DOI BibTeX RDF |
delta test, input scaling, input projection, variable selection, real-coded genetic algorithm, global search |
18 | Andrew Herdrich, Ramesh Illikkal, Ravi R. Iyer 0001, Donald Newell, Vineet Chadha, Jaideep Moses |
Rate-based QoS techniques for cache/memory in CMP platforms. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
p-states, performance differentiation, t-states, cache, memory, rate control, qos, clock gating, frequency scaling, dvfs |
18 | Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 |
Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
significance driven computation, variation aware, voltage over-scaling, low power, motion estimation |
18 | Ik Joon Chang, Debabrata Mohapatra, Kaushik Roy 0001 |
A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
low power SRAM, supply voltage over-scaling, graceful degradation |
18 | Lee Kee Goh, Bharadwaj Veeravalli |
An Energy-Balanced Task Scheduling Heuristic for Heterogeneous Wireless Sensor Networks. |
HiPC |
2008 |
DBLP DOI BibTeX RDF |
heterogeneous multiprocessor scheduling, wireless sensor network, power management, dynamic voltage scaling, Energy-aware scheduling |
18 | Maarit Laanti |
Implementing Program Model with Agile Principles in a Large Software Development Organization. |
COMPSAC |
2008 |
DBLP DOI BibTeX RDF |
large organizations, scaling-up agile, software process, agile, scrum |
18 | Gwo-Jong Yu, Shao-Chun Wang |
A Hierarchical MDS-Based Localization Algorithm for Wireless Sensor Networks. |
AINA |
2008 |
DBLP DOI BibTeX RDF |
multidimensional scaling, localization algorithm, hierarchical network |
18 | Fang Wu, Li Zhang 0001 |
Proportional response dynamics leads to market equilibrium. |
STOC |
2007 |
DBLP DOI BibTeX RDF |
matrix equilibrium, matrix scaling, peer to peer sharing, proportional response dyanmics |
18 | Mohamed A. Gomaa, T. N. Vijaykumar |
Opportunistic Transient-Fault Detection. |
IEEE Micro |
2006 |
DBLP DOI BibTeX RDF |
Transient-fault detection, redundancy, soft errors, CMOS scaling |
18 | Hsin-hung Lin, Chih-wen Hsueh |
Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling. |
Real Time Syst. |
2006 |
DBLP DOI BibTeX RDF |
Power-aware real-time scheduling, Pinwheel model, Embedded systems, Profiling, Dynamic voltage scaling |
18 | Ming-Jun Lai |
Construction of multivariate compactly supported orthonormal wavelets. |
Adv. Comput. Math. |
2006 |
DBLP DOI BibTeX RDF |
compactly supported, orthonormal, multi-scaling vectors, multi-wavelets, wavelets, multi-resolution analysis |
18 | Mihye Kim, Paul Compton |
A Hybrid Browsing Mechanism Using Conceptual Scales. |
PKAW |
2006 |
DBLP DOI BibTeX RDF |
Conceptual scaling, Browsing mechanism, Formal concept analysis |
18 | Heiner Giefers, Achim Rettberg |
Energy aware multiple clock domain scheduling for a bit-serial, self-timed architecture. |
SBCCI |
2006 |
DBLP DOI BibTeX RDF |
high-level synthesis, low power design, voltage scaling, bit-serial architecture |
18 | Wenhong Zhao, Feng Xia 0001 |
An Efficient Approach to Energy Saving in Microcontrollers. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
workload variability, dynamic voltage scaling, Energy saving, microcontroller, control performance |
18 | Gunnar Gaubatz, Berk Sunar |
Robust Finite Field Arithmetic for Fault-Tolerant Public-Key Cryptography. |
FDTC |
2006 |
DBLP DOI BibTeX RDF |
homomorphic embedding, modulus scaling, fault tolerance, error detection, public-key cryptography, arithmetic codes, Finite field arithmetic, cyclic codes, idempotency |
18 | Alexander M. Bronstein, Michael M. Bronstein, Ron Kimmel |
Facetoface: An Isometric Model for Facial Animation. |
AMDO |
2006 |
DBLP DOI BibTeX RDF |
isometric embedding, expression exaggeration, texture mapping, morphing, multidimensional scaling, correspondence problem, face animation |
18 | J. W. McPherson |
Reliability challenges for 45nm and beyond. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
design, reliability, CMOS, scaling, materials |
18 | Selim Gurun, Chandra Krintz |
AutoDVS: an automatic, general-purpose, dynamic clock scheduling system for hand-held devices. |
EMSOFT |
2005 |
DBLP DOI BibTeX RDF |
prediction, power consumption, voltage scaling, resource-constrained devices |
18 | Zicheng Liu 0001, Michael F. Cohen |
Head-size equalization for better visual perception of video conferencing. |
ICME |
2005 |
DBLP DOI BibTeX RDF |
head-size equalization, spatially-varying-uniform scaling function, SVU, meeting participants, five-lens camera, omni-directional camera, visual perception, video conferencing, image warping |
18 | A. Goel, C. Mani Krishna 0001, Israel Koren |
Energy aware kernel for hard real-time systems. |
CASES |
2005 |
DBLP DOI BibTeX RDF |
embedded system, dynamic voltage scaling, earliest deadline first, real-time operating system, eCos |
18 | Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang 0004, Sivakumar Velusamy, David Tarjan |
Temperature-aware microarchitecture: Modeling and implementation. |
ACM Trans. Archit. Code Optim. |
2004 |
DBLP DOI BibTeX RDF |
Dynamic compact thermal models, fetch gating, dynamic voltage scaling, feedback control, dynamic thermal management |
18 | Diana Marculescu |
Application adaptive energy efficient clustered architectures. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
dynamic voltage scaling, clustered architectures |
18 | Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem, Matthew Craven |
Energy management for real-time embedded applications with compiler support. |
LCTES |
2003 |
DBLP DOI BibTeX RDF |
real time, power management, dynamic voltage scaling |
18 | Shaojun Wang, Dale Schuurmans |
Learning Continuous Latent Variable Models with Bregman Divergences. |
ALT |
2003 |
DBLP DOI BibTeX RDF |
alternating minimization, forward projection, backward projection, iterative scaling, unsupervised learning, Bregman divergence, information geometry, statistical machine learning |
18 | Ronald L. Boring, Robert L. West, Stephen Moore 0005 |
Helping users determine video quality of service settings. |
CHI Extended Abstracts |
2002 |
DBLP DOI BibTeX RDF |
constrained scaling, multimedia internet broadcasting, quality of service, video streaming |
18 | Qingtang Jiang |
Parameterization of m-channel orthogonal multifilter banks. |
Adv. Comput. Math. |
2000 |
DBLP DOI BibTeX RDF |
multifilter bank, paraunitary, 42A15, 94A11, 42A38, 39B62, symmetry, parameterization, 41A15, orthogonality, scaling function, multiwavelet |
18 | Kenneth E. Hoganson |
Workload Execution Strategies and Parallel Speedup on Clustered Computers. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
clustering, Parallel processing, efficiency, scaling, speedup |
18 | Witold A. Pleskacz |
Yield Estimation of VLSI Circuits with Downscaled Layouts. |
DFT |
1999 |
DBLP DOI BibTeX RDF |
IC layout scaling, VLSI circuits, critical area, spot defects, manufacturing yield |
18 | Kumi Jinzenji, Satoshi Ishibashi, Hiroshi Kotera |
Algorithm for Automatically Producing Layered Sprites by Detecting Camera Movement. |
ICIP (1) |
1997 |
DBLP DOI BibTeX RDF |
automatic layered sprites production, camera movement detection, depth representation, sprite model, Hermart transform coefficients, rotation factors, synthesized image, sprite synthesis, algorithm, prediction, correlation, translation, simulation results, transcoding, video sequence, video signal processing, motion vectors, camera motion, scaling factors |
18 | Ihn-Han Bae, Sun-Jin Oh, Mukesh Singhal |
Design and Evaluation of a Distributed Multimedia Synchronization Algorithm using Media Scalings and Variable Service Rates. |
ICPADS |
1997 |
DBLP DOI BibTeX RDF |
media scaling, relative stamp, multimedia networks, multimedia synchronization, distributed multimedia systems |
18 | Tatsuo Nakajima, Hiroshi Tezuka |
Continuous media storage system supporting VBR streams. |
RTCSA |
1996 |
DBLP DOI BibTeX RDF |
continuous media storage system, constant frame rate retrieval, disk bandwidth, dynamic QOS control schemes, media scaling technique, disk layout policy, scheduling algorithm, multimedia computing, VBR streams, variable bit rate streams |
18 | Gagan L. Choudhury, Kin K. Leung, Ward Whitt |
Calculating Normalization Constants of Closed Queueing Networks by Numerically Inverting Their Generating Functions. |
J. ACM |
1995 |
DBLP DOI BibTeX RDF |
Euler summation, numerical transform inversion, production-form model, performance analysis, scaling, dimension reduction, generating function, partition function, closed queuing networks, normalization constant |
Displaying result #301 - #400 of 16717 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|