The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for silicon with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (15) 1968-1978 (17) 1979-1982 (16) 1983-1984 (21) 1985 (26) 1986 (16) 1987 (23) 1988 (26) 1989 (32) 1990 (50) 1991 (43) 1992 (24) 1993 (40) 1994 (33) 1995 (56) 1996 (44) 1997 (77) 1998 (106) 1999 (116) 2000 (117) 2001 (156) 2002 (164) 2003 (258) 2004 (249) 2005 (338) 2006 (551) 2007 (802) 2008 (409) 2009 (295) 2010 (207) 2011 (290) 2012 (258) 2013 (438) 2014 (514) 2015 (382) 2016 (374) 2017 (597) 2018 (481) 2019 (351) 2020 (356) 2021 (349) 2022 (355) 2023 (342) 2024 (54)
Publication types (Num. hits)
article(2868) book(10) data(3) incollection(34) inproceedings(6355) phdthesis(171) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2164 occurrences of 1285 keywords

Results
Found 9468 publication records. Showing 9468 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Viktor Schlosser Imaging the transmission of light through a crystalline silicon wafer with a silicon detector array. Search on Bibsonomy IECON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Xin Li 0001, Fa Wang, Shupeng Sun, Chenjie Gu Bayesian model fusion: a statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Bahram Jalali, Nick K. Hon, Kevin K. Tsia Periodically poled silicon (PePSi) for efficient and electronically-tuned nonlinear optics in silicon. Search on Bibsonomy OFC/NFOEC The full citation details ... 2013 DBLP  BibTeX  RDF
18Michael L. Davenport, Jared F. Bauters, Molly Piels, Martijn J. R. Heck, Arnold Chen, Alexander W. Fang, John E. Bowers 0001 A 400 Gb/s WDM receiver using a low loss silicon nitride AWG integrated with hybrid silicon photodetectors. Search on Bibsonomy OFC/NFOEC The full citation details ... 2013 DBLP  BibTeX  RDF
18Prasanjeet Das, Sandeep K. Gupta 0001 Extending pre-silicon delay models for post-silicon tasks: Validation, diagnosis, delay testing, and speed binning. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Mehdi Dehbashi Debug automation from pre-silicon to post-silicon. Search on Bibsonomy 2013   RDF
18Benjamin Backes, Colin McDonough, Larry Smith 0004, Wei Wang 0003, Robert E. Geer Effects of Copper Plasticity on the Induction of Stress in Silicon from Copper Through-Silicon Vias (TSVs) for 3D Integrated Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Mehdi Dehbashi, Görschwin Fey Automated debugging from pre-silicon to post-silicon. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Mile Ivanda, Maja Balarin, Ozren Gamulin, Vedran Derek, Davor Ristic, Svetozar Music, Mira Ristic, Kresimir Furic, Zorica Crnjak Orel Porous silicon prepared by electrochemical etching of silicon eptaxial layer. Search on Bibsonomy MIPRO The full citation details ... 2012 DBLP  BibTeX  RDF
18Bahareh Yaghootkar, Mahmoud Amouzgar, Mojtaba Kahrizi Fabrication of silicon micro-rod array with controlled density and size distribution using porous silicon. Search on Bibsonomy IECON The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Michael B. Taylor Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yuriy Vashpanov, Jae-Il Jung, Kae-Dal Kwack Photo-EMF Sensitivity of Porous Silicon Thin Layer-Crystalline Silicon Heterojunction to Ammonia Adsorption. Search on Bibsonomy Sensors The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Allon Adir, Shady Copty, Shimon Landa, Amir Nahir, Gil Shurek, Avi Ziv, Charles Meissner, John Schumann A unified methodology for pre-silicon verification and post-silicon validation. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Wei Zhou, Max Guest, Darcy Hart System level evaluation of Silicon imager based see-through Silicon application. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Liang Gu, W. Liu, S. L. Lei, S. B. Wang, Y. Y. Deng Discharge light and carbonization distribution characteristics at XLPE-silicon rubber interface with silicon-grease in tracking failure test. Search on Bibsonomy ICDIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Pei Ling Li, Chie Gau, Bau Tong Dai, Chien-Wei Liu Study of silicon nitride film embedded with silicon quantum dots. Search on Bibsonomy NEMS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ai Rong Chen, Peng Liu, Shou Zhong Wang Silicon Content and Isothermal Quenching Process' Influence on High Silicon Cast Steel Organization and Performance. Search on Bibsonomy ICDMA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Allon Adir, Amir Nahir, Gil Shurek, Avi Ziv, Charles Meissner, John Schumann Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Gary Miller, Bandana Bhattarai, Yu-Chin Hsu, Jay Dutt, Xi Chen 0024, George Bakewell A method to leverage pre-silicon collateral and analysis for post-silicon testing and validation. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Andrew Alduino Demonstration of a high speed 4-channel integrated silicon photonics WDM link with hybrid silicon lasers. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yasuhiro Matsumoto, Mauricio Ortega-López, Frank Wünsch HW-CVD deposited microcrystalline-silicon on crystalline-silicon solar cell with inverted heterojunction structure. Search on Bibsonomy CCE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yeonbok Lee, Takeshi Matsumoto, Masahiro Fujita Generation of I/O sequences for a high-level design from those in post-silicon for efficient post-silicon debugging. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Luís da Silva Zambom, Ronaldo Domingues Mansano, Ana Paula Mousinho Low-temperature deposition of silicon oxide and silicon nitride by reactive magnetron sputtering. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Monem Krichen, Abdelaziz Zouari, Adel Ben Arab A simple analytical model of thin films crystalline silicon solar cell with quasi-monocrystalline porous silicon at the backside. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Zhizhong Yuan, Aleksei Anopchenko, Nicola Daldosso, Romain Guider, Daniel Navarro-Urrios, Alessandro Pitanti, Rita Spano, Lorenzo Pavesi Silicon Nanocrystals as an Enabling Material for Silicon Photonics. Search on Bibsonomy Proc. IEEE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Ke Tao, Dexian Zhang, Yun Sun, Linshen Wang, Jingfang Zhao, Ying Xue, Yuanjian Jiang, Hongkun Cai, Yanping Sui, Jin Wang Boron doped hydrogenated nanocrystalline silicon thin films prepared by layer-by-layer technique and its application in n-i-p flexible amorphous silicon thin film solar cells. Search on Bibsonomy NEMS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Paul S. Andry, Cornelia K. Tsang, Bucknell C. Webb, Edmund J. Sprogis, Steven L. Wright, Bing Dang, Dennis G. Manzer Fabrication and characterization of robust through-silicon vias for silicon-carrier applications. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Godofredo García Salgado, R. Hernández, Javier Martínez, Tomás Díaz, Héctor Juárez, Enrique Rosendo, Reina Galeazzi, A. García, G. Juárez Fabrication, characterization, and analysis of photodetectors metal-porous silicon with different geometry and thickness of the porous silicon layer. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Atsushi Waseda, Kenichi Fujii Density Evaluation of Silicon Thermal-Oxide Layers on Silicon Crystals by the Pressure-of-Flotation Method. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18R. Rao, J. E. Bradby, S. Ruffell, J. S. Williams Nanoindentation-induced phase transformation in crystalline silicon and relaxed amorphous silicon. Search on Bibsonomy Microelectron. J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Raphael Tsu, D. Quinlan, K. Daneshvar Silicon-O-M-O-silicon superlattice. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Liguo Chen, Tao Chen 0010, Lining Sun The bond Strength Measurement of silicon-silicon bonding wafers Based on Crack Opening Method. Search on Bibsonomy Int. J. Inf. Acquis. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Gen-Wen Hsieh, Ching-Hsiang Tsai, Wei-Chih Lin Anodic bonding of glass and silicon wafers with an intermediate silicon nitride film and its application to batch fabrication of SPM tip arrays. Search on Bibsonomy Microelectron. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Frédéric Marty, Lionel Rousseau, Bassam Saadany, B. Mercier, Olivier Français, Y. Mita, Tarik Bourouina Advanced etching of silicon based on deep reactive ion etching for silicon high aspect ratio microstructures and three-dimensional micro- and nanostructures. Search on Bibsonomy Microelectron. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Brian Taba, Kwabena Boahen 0001 Silicon growth cones map silicon retina. Search on Bibsonomy NIPS The full citation details ... 2005 DBLP  BibTeX  RDF
18B. Díaz, José A. Rodríguez 0004, Montse Riera, Andreu Llobera, Carlos Domínguez, Joaquín Tutor-Sánchez Optical properties of silicon rich silicon oxides obtained by PECVD. Search on Bibsonomy Microelectron. J. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Matteo Cocuzza Development of silicon and silicon carbide-based micro-electromechanical systems. Search on Bibsonomy 2004   RDF
18Summer F. C. Tseng, Wei-Ting Kary Chien, Bing-Chu Cai Improvement of poly-silicon hole induced gate oxide failure by silicon rich oxidation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jackie Chan, Hei Wong, M. C. Poon, Chi-Wah Kok Oxynitride gate dielectric prepared by thermal oxidation of low-pressure chemical vapor deposition silicon-rich silicon nitride. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Tom Conlon, Mary Simpson Silicon Valley versus Silicon Glen: the impact of computers upon teaching and learning: a comparative study. Search on Bibsonomy Br. J. Educ. Technol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jed Kolko Silicon mountains, silicon molehills: geographic concentration and convergence of internet industries in the US. Search on Bibsonomy Inf. Econ. Policy The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18J. Barton, G. McCarthy, R. Doyle, K. Delaney, Enric Cabruja, Manuel Lozano 0003, Ana Collado, Joaquín Santander Reliability evaluation of a silicon-on-silicon MCM-D package. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Laurent Jalabert, Pierre Temple-Boyer, Gérard Sarrabayrouse, F. Cristiano, B. Colombeau, F. Voillot, C. Armand Reduction of boron penetration through thin silicon oxide with a nitrogen doped silicon layer. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Jan Sevenhans Silicon radio integration: architectures and technology: from cartesian zero IF receive & transmit to polar zero I and Q, from silicon bipolar to bulk and SOI CMOS. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ferdinando Taddei The Rotational Barriers of Groups Containing Silicon in Substituted Benzenes. A Theoretical Approach to the Silicon Substituent Effect. Search on Bibsonomy J. Chem. Inf. Comput. Sci. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Gordon E. Moore The role of Fairchild in silicon technology in the early days of "Silicon Valley". Search on Bibsonomy Proc. IEEE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Jan Sevenhans, Bart Verstraeten, Graham Fletcher, Harry Dietrich, Winfried Rabe, Jean Luc Bacq, J. Varin, J. Dulongpont Silicon germanium and silicon bipolar RF circuits for 2.7 V single chip radio transceiver integration. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Andrew M. Odlyzko Silicon Dreams and Silicon Bricks: The Continuing Evolution of Libraries. Search on Bibsonomy Libr. Trends The full citation details ... 1997 DBLP  BibTeX  RDF
18Maria Grazia Albanesi An example of integrated circuit design based on silicon compilation: the SCPC1 (Silicon Compiler Pyramidal Chip). Search on Bibsonomy Recent Issues in Pattern Analysis and Recognition The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Ahmed Amine Jerraya Contribution à la compilation de silicium et au compilateur SYCO. (Silicon compilation and the syco silicon compiler). Search on Bibsonomy 1989   RDF
18Donald P. Seraphim, Andrew E. Brennemann, Francois Max d'Heurle, Harold L. Friedman Electrochemical Phenomena in Thin Films of Silicon Dioxide on Silicon. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1964 DBLP  DOI  BibTeX  RDF
18Atanu Chattopadhyay, Zeljko Zilic Serial reconfigurable mismatch-tolerant clock distribution. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, clock skew, clock networks
18Nicholas Callegari, Li-C. Wang, Pouria Bastani Speedpath analysis based on hypothesis pruning and ranking. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF speedpath, data mining, timing analysis
18Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao Spare-cell-aware multilevel analytical placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cells, placement, physical design
18Rob Aitken, Erik Jan Marinissen Guest Editors' Introduction: Addressing the Challenges of Debug and Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Bart Vermeulen Functional Debug Techniques for Embedded Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Pouria Bastani, Li-C. Wang, Magdy S. Abadir Linking Statistical Learning to Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Material Fatigue and Reliability of MEMS Accelerometers. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Walid Ibrahim A Novel EDA Tool for VLSI Test Vectors Management. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test vectors selection, Genetic algorithms, Verification, VLSI, EDA tools
18Kazuhiro Shimonomura, Takayuki Kushima, Tetsuya Yagi Neuromorphic binocular vision system for real-time disparity estimation. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18P. Yeung, A. Torres, P. Batra Interactive presentation: Novel test infrastructure and methodology used for accelerated bring-up and in-system characterization of the multi-gigahertz interfaces on the cell processor. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Giacomo Indiveri, Elisabetta Chicca, Rodney J. Douglas A VLSI array of low-power spiking neurons and bistable synapses with spike-timing dependent plasticity. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Paddy J. French Integrated Microsystems in Industrial Applications. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF integrated sensors, packaging
18Noah Bamford, Rekha Bangalore, Eric Chapman, Hector Chavez, Rajeev Dasari, Yinfang Lin, Edgar Jimenez Challenges in System on Chip Verification. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Giovanni De Micheli Nanoelectronics: Challenges and Opportunities. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jean-Pierre Schoellkopf ATRS: An Alternative Roadmap for Semiconductors, Technology Evolution and Impacts on System Architecture. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Bhaskar J. Karmakar, V. Kalyana Chakravarty, R. Venkatraman, Jagdish C. Rao Enabling Quality and Schedule Predictability in SoC Design using HandoffQC. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ramyanshu Datta, Gary D. Carpenter, Kevin J. Nowka, Jacob A. Abraham A Scheme for On-Chip Timing Characterization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin Ultra low-cost defect protection for microprocessor pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-protection, reliability, pipelines, low-cost
18K. Uday Bhaskar, M. Prasanth, V. Kamakoti 0001, Kailasnath Maneparambil A Framework for Automatic Assembly Program Generator (A2PG) for Verification and Testing of Processor Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Gary Smith 0001 A new era for CAD. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Udayan Mallik, R. Jacob Vogelstein, Eugenio Culurciello, Gert Cauwenberghs, Ralph Etienne-Cummings A real-time spike-domain sensory information processing system [image processing applications]. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Kazuhiro Shimonomura, Tetsuya Yagi A 100×100 pixels orientation-selective multi-chip vision system. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Nobuyuki Nishiguchi An advance RTL to GDS2 design methodology for 90 nm and below system LSIs to solve timing closure, signal integrity and design for manufacturing. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18K. Uday Bhaskar, M. Prasanth, G. Chandramouli, V. Kamakoti 0001 A Universal Random Test Generator for Functional Verification of Microprocessors and System-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jie Yang 0010, Luigi Capodieci, Dennis Sylvester Advanced timing analysis based on post-OPC extraction of critical dimensions. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process CD, layout, OPC, design flow
18E. L. Meyer, E. E. van Dyk Assessing the reliability and degradation of photovoltaic module performance parameters. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Dan Hillman Using Mobilize Power Management IP for Dynamic & Static Power Reduction in SoC at 130 nm. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Didier Keymeulen, Ricardo Salem Zebulum, Adrian Stoica, Vu Duong, Michael I. Ferguson Evolvable Hardware for Signal Separation and Noise Cancellation Using Analog Reconfigurable Device. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kousik Sivakumar, Balaji Panchapakesan Surface Oriented Self Assembled Growth of Carbon Nanotubes. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Shreekant (Ticky) Thakkar Battery life challenges on future mobile notebook platforms. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yukio Okuda Panel Synopsis - Diagnosis Meets Physical Failure Analysis: How Long Can We Succeed? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mark Hopkins Nomadic platform approach for wireless mobile multimedia. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kee-Keun Lee, Jiping He, Amarjit Singh, Bruce C. Kim Benzocyclobutene (BCB) Based Intracortical Neural Implant. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ilkka Saastamoinen, M. Alho, Jari Nurmi Buffer implementation for Proteo network-on-chip. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Patrick Groeneveld Physical Design Challenges for Billion Transistor Chips. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18B. Chester Hwang Trends of Key Advanced Device Technologies. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SIA roadmap, Sematech, TFSOI, graded-channel CMOS, complementary IC technology, 0.25 micron, CMOS integrated circuits, CMOS technology, Moore's law, GaAs, Si
18E. Miranda, Leonardo Maria Reyneri A CPWM Synapsis for Weighted Radial Basis Functions. Search on Bibsonomy IWANN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Antonio Abramo, Franco Venturi, Enrico Sangiorgi, Jack M. Higman, Bruno Riccò A numerical method to compute isotropic band models from anisotropic semiconductor band structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Meyer E. Nigri, Philip C. Treleaven High Level Syntheses of Neural Network Chips. Search on Bibsonomy IWANN The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18C. Bernard Shung, Rajeev Jain, Ken Rimey, Edward Wang, Mani B. Srivastava, Brian C. Richards, Erik Lettang, Syed Khalid Azim, Lars E. Thon, Paul N. Hilfinger, Jan M. Rabaey, Robert W. Brodersen An integrated CAD system for algorithm-specific IC design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Johannes M. Mulder, Robert J. Portier, A. Srivastava A framework for high-speed controller design. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
15Andrew B. Kahng, Vijayalakshmi Srinivasan Big Chips. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Big chips, dark silicon, network scalability, thermal design power, large-scale designs, field-programmable gate arrays, hardware accelerators, dynamic voltage and frequency scaling, 3D integration, physical synthesis, clock network synthesis
15Chun-Chuan Chi, Erik Jan Marinissen, Sandeep Kumar Goel, Cheng-Wen Wu DfT Architecture for 3D-SICs with Multiple Towers. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF three-dimensional stacking, 3D-SIC, multi-tower, DfT, wrapper, design-for-test, TSV, through-silicon via
15David A. Laws A Company of Legend: The Legacy of Fairchild Semiconductor. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Fairchild, Hoerni, Moore, Noyce, Silicon Valley, integrated circuit, Planar, Microelectronics, Semiconductor
15Rong-xia Sun, Xiaofeng Chen 0005 The Design of the Laser Simulation Training Device. Search on Bibsonomy WKDD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF semi-conductor laser machine, silicon light battery, ISD1420, soniferous display, SCM
15N. Khalid, Jugdutt Singh, Hai Phuong Le, Kriyang Shah, John Devlin, Z. Sauli Very High Q, NEMS Inductor for 12GHz Wireless Sensor Applications. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF High Q inductor, Micro/Nano Electro-Mechanical Systems (MEMS/NEMS), Silicon-on-sapphire
15Subhasish Mitra Robust System Design. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Robust systems, IFRA, BISER, Built-In Soft Error Resilience, Circuit Failure Prediction, On-line Self-Test, Reliability, Validation, aging, soft errors, post-silicon validation
15Wenchao Li 0001, Alessandro Forin, Sanjit A. Seshia Scalable specification mining for verification and diagnosis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF error localization, verification, formal specification, debugging, diagnosis, assertions, post-silicon validation
15Yu-Chien Kao, Hsuan-Ming Chou, Kun-Ting Tsai, Shih-Chieh Chang An efficient phase detector connection structure for the skew synchronization system. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adjustable delay buffer, phase detector, post-silicon tuning
Displaying result #301 - #400 of 9468 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license