Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
10 | Ming Wu 0006, Xian-He Sun, Yong Chen 0001 |
QoS Oriented Resource Reservation in Shared Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: Sixth IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2006), 16-19 May 2006, Singapore, pp. 601-608, 2006, IEEE Computer Society, 0-7695-2585-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Mark Thompson 0001, Andy D. Pimentel, Simon Polstra, Cagkan Erbas |
A Mixed-level Co-simulation Method for System-level Design Space Exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2006, October 26-27, 2006, Seoul, Korea, conjunction with CODES+ISSS 2006, pp. 27-32, 2006, IEEE Computer Society, 0-7803-9783-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Kyung-Sang Sung, Hae-Seok Oh |
Recommends System using Re-extraction methods on the Groups with a similarity pattern such as Clustered User's preference tendency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SERA ![In: Fourth International Conference on Software Engineering, Research, Management and Applications (SERA 2006), 9-11 August 2006, Seattle, Washington, USA, pp. 101-107, 2006, IEEE Computer Society, 0-7695-2656-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Dan Tsafrir, Dror G. Feitelson |
The Dynamics of Backfilling: Solving the Mystery of Why Increased Inaccuracy May Help. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: Proceedings of the 2006 IEEE International Symposium on Workload Characterization, IISWC 2006, October 25-27, 2006, San Jose, California, USA, pp. 131-141, 2006, IEEE Computer Society, 1-4244-0508-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Xiaobo Zhou 0002, Cheng-Zhong Xu 0001 |
Quantitative Service Differentiation: A Square-Root Proportional Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2006, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 814-823, 2006, Springer, 3-540-36679-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Nianmin Yao, Wenbin Yao, Shaobin Cai, Jun Ni |
A New Scheduling Algorithm for Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS (1) ![In: Interdisciplinary and Multidisciplinary Research in Computer Science, IEEE CS Proceeding of the First International Multi-Symposium of Computer and Computational Sciences (IMSCCS|06), June 20-24, 2006, Zhejiang University, Hangzhou, China, Vol. 1, pp. 639-643, 2006, IEEE Computer Society, 0-7695-2581-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Qingjiang Wang, Yun Gao, Peishun Liu |
Hill Climbing-Based Decentralized Job Scheduling on Computational Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS (1) ![In: Interdisciplinary and Multidisciplinary Research in Computer Science, IEEE CS Proceeding of the First International Multi-Symposium of Computer and Computational Sciences (IMSCCS|06), June 20-24, 2006, Zhejiang University, Hangzhou, China, Vol. 1, pp. 705-708, 2006, IEEE Computer Society, 0-7695-2581-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Wayne Goddard, Stephen T. Hedetniemi, David Pokrass Jacobs, Vilmar Trevisan |
Distance-k Information in Self-stabilizing Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIROCCO ![In: Structural Information and Communication Complexity, 13th International Colloquium, SIROCCO 2006, Chester, UK, July 2-5, 2006, Proceedings, pp. 349-356, 2006, Springer, 3-540-35474-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Simon Fischer 0001, Harald Räcke, Berthold Vöcking |
Fast convergence to Wardrop equilibria by adaptive sampling methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 38th Annual ACM Symposium on Theory of Computing, Seattle, WA, USA, May 21-23, 2006, pp. 653-662, 2006, ACM, 1-59593-134-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Wardrop equilibria, adaptive routing, convergence time |
10 | Guillaume Duc, Ronan Keryell |
CryptoPage: An Efficient Secure Architecture with Memory Encryption, Integrity and Information Leakage Protection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 22nd Annual Computer Security Applications Conference (ACSAC 2006), 11-15 December 2006, Miami Beach, Florida, USA, pp. 483-492, 2006, IEEE Computer Society, 0-7695-2716-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin |
Ultra low-cost defect protection for microprocessor pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 73-82, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
defect-protection, reliability, pipelines, low-cost |
10 | Jason Hiser, Daniel W. Williams, Adrian Filipi, Jack W. Davidson, Bruce R. Childers |
Evaluating fragment construction policies for SDT systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 2nd International Conference on Virtual Execution Environments, VEE 2006, Ottawa, Ontario, Canada, June 14-16, 2006, pp. 122-132, 2006, ACM, 978-1-59593-332-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
dynamic translation performance, software dynamic translator, performance, low overhead |
10 | Deepti Vyas, Jaspal Subhlok |
Volunteer Computing on Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JSSPP ![In: Job Scheduling Strategies for Parallel Processing, 12th International Workshop, JSSPP 2006, Saint-Malo, France, June 26, 2006, Revised Selected Papers, pp. 161-175, 2006, Springer, 3-540-71034-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Pradip Bose |
Variation-tolerant design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(2), pp. 5, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Mazen Kharbutli, Yan Solihin, Jaejin Lee |
Eliminating Conflict Misses Using Prime Number-Based Cache Indexing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(5), pp. 573-586, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Cache hashing, cache indexing, prime modulo, odd-multiplier displacement, conflict misses |
10 | Eitan Frachtenberg, Dror G. Feitelson, Fabrizio Petrini, Juan Fernández 0001 |
Adaptive Parallel Job Scheduling with Flexible Coscheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(11), pp. 1066-1077, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
flexible coscheduling, load balancing, parallel architectures, Cluster computing, job scheduling, gang scheduling |
10 | Enyue Lu, S. Q. Zheng 0001 |
Parallel Routing Algorithms for Nonblocking Electronic and Photonic Switching Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(8), pp. 702-713, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
rearrangeable nonblocking network, strictly nonblocking network, parallel algorithm, graph coloring, crosstalk, optical switching, Banyan network, self-routing, switch control |
10 | Markus Mock, Darren C. Atkinson, Craig Chambers, Susan J. Eggers |
Program Slicing with Dynamic Points-To Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 31(8), pp. 657-678, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Dynamic analysis, program slicing, points-to analysis |
10 | Gregorio Bernabé, José M. García 0001, José González 0002 |
Reducing 3D Fast Wavelet Transform Execution Time Using Blocking and the Streaming SIMD Extensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(2), pp. 209-223, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Streaming SIMD extensions, reuse, vectorization, video compression, blocking, 3D wavelet transform |
10 | Shankara Narayanan Krishna, Gheorghe Paun |
P Systems with Mobile Membranes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Nat. Comput. ![In: Nat. Comput. 4(3), pp. 255-274, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
matrix grammar, membrane computing, Turing computability |
10 | Thi Viet Nga Nguyen, François Irigoin |
Efficient and effective array bound checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 27(3), pp. 527-570, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
interprocedural analysis, Array bound checking |
10 | Bob Bentley |
Validating a Modern Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 17th International Conference, CAV 2005, Edinburgh, Scotland, UK, July 6-10, 2005, Proceedings, pp. 2-4, 2005, Springer, 3-540-27231-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Matthew Curtis-Maury, Tanping Wang |
Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QEST ![In: Second International Conference on the Quantitative Evaluaiton of Systems (QEST 2005), 19-22 September 2005, Torino, Italy, pp. 199-209, 2005, IEEE Computer Society, 0-7695-2427-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Marc L. Corliss, E. Christopher Lewis, Amir Roth |
Low-Overhead Interactive Debugging via Dynamic Instrumentation with DISE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 303-314, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Feng Qin, Shan Lu 0001, Yuanyuan Zhou 0001 |
SafeMem: Exploiting ECC-Memory for Detecting Memory Leaks and Memory Corruption During Production Runs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 291-302, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Renato Porfirio Ishii, Rodrigo Fernandes de Mello, Luciano José Senger, Marcos José Santana, Regina Helena Carlucci Santana |
Scheduling Based on the Impact over Process Communication of Parallel Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 19th Annual International Symposium on High Performance Computing Systems and Applications (HPCS 2005), 15-18 May 2005, Guelph, Ontario, Canada, pp. 149-155, 2005, IEEE Computer Society, 0-7695-2343-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Zhi Xiong, Puliu Yan, Juntao Wang |
A Self-Adjusting Size-Based Load Balance Policy for Web Server Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Fifth International Conference on Computer and Information Technology (CIT 2005), 21-23 September 2005, Shanghai, China, pp. 368-374, 2005, IEEE Computer Society, 0-7695-2432-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Xiao Qin, Hong Jiang |
Improving effective bandwidth of networks on clusters using load balancing for communication-intensive applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: Proceedings of the 24th IEEE International Performance Computing and Communications Conference, IPCCC 2005, April 7-9, 2005, Phoenix, Arizona, USA, pp. 27-34, 2005, IEEE, 0-7803-8991-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Simon Oechsner, Oliver Rose |
Scheduling cluster tools using filtered beam search and recipe comparison. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 37th Winter Simulation Conference, Orlando, FL, USA, December 4-7, 2005, pp. 2203-2210, 2005, IEEE Computer Society, 0-7803-9519-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Shanshan Song, Yu-Kwong Kwok, Kai Hwang 0001 |
Security-Driven Heuristics and A Fast Genetic Algorithm for Trusted Grid Job Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Yuan Yu, Tom Rodeheffer, Wei Chen |
RaceTrack: efficient detection of data race conditions via adaptive tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 20th ACM Symposium on Operating Systems Principles 2005, SOSP 2005, Brighton, UK, October 23-26, 2005, pp. 221-234, 2005, ACM, 1-59593-079-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
virtual machine instrumentation, race detection |
10 | Daji Qiao, Kang G. Shin |
Smart power-saving mode for IEEE 802.11 wireless LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 13-17 March 2005, Miami, FL, USA, pp. 1573-1583, 2005, IEEE, 0-7803-8968-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Siddhartha Shivshankar, Sunil Vangara, Alexander G. Dean |
Balancing register pressure and context-switching delays in ASTI systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2005, San Francisco, California, USA, September 24-27, 2005, pp. 286-294, 2005, ACM, 1-59593-149-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
asynchronous software thread integration, software-implemented-communication protocols, hardware to software migration, fine-grain concurrency |
10 | Jupyung Lee, Kyu Ho Park |
Delayed Locking Technique for Improving Real-Time Performance of Embedded Linux by Prediction of Timer Interrupt. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: 11th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2005), 7-10 March 2005, San Francisco, CA, USA, pp. 487-496, 2005, IEEE Computer Society, 0-7695-2302-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Jyh-Biau Chang, Tyng-Yeu Liang, Ce-Kuen Shieh |
Progressive Multilayer Reconfiguration for Software DSM Systems in Non-Dedicated Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 19th International Conference on Advanced Information Networking and Applications (AINA 2005), 28-30 March 2005, Taipei, Taiwan, pp. 367-370, 2005, IEEE Computer Society, 0-7695-2249-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Zehra Sura, Xing Fang, Chi-Leung Wong, Samuel P. Midkiff, Jaejin Lee, David A. Padua |
Compiler techniques for high performance sequentially consistent java programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2005, June 15-17, 2005, Chicago, IL, USA, pp. 2-13, 2005, ACM, 1-59593-080-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
java, synchronization, multithread, memory consistency |
10 | Dimitrios Koukopoulos |
The Impact of Dynamic Link Slowdowns on Network Stability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 8th International Symposium on Parallel Architectures, Algorithms, and Networks, ISPAN 2005, December 7-9. 2005, Las Vegas, Nevada, USA, pp. 340-345, 2005, IEEE Computer Society, 0-7695-2509-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Chung-Hsing Hsu, Wu-chun Feng |
A Feasibility Analysis of Power Awareness in Commodity-Based High-Performance Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2005 IEEE International Conference on Cluster Computing (CLUSTER 2005), September 26 - 30, 2005, Boston, Massachusetts, USA, pp. 1-10, 2005, IEEE Computer Society, 0-7803-9485-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Fred Niederman, Munir Mandviwalla |
The evolution of IT (computer) personnel research: more theory, more understanding, more questions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Base ![In: Data Base 35(3), pp. 6-8, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Yongkang Zhu, Grigorios Magklis, Michael L. Scott, Chen Ding 0001, David H. Albonesi |
The Energy Impact of Aggressive Loop Fusion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins, France, pp. 153-164, 2004, IEEE Computer Society, 0-7695-2229-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Cynthia Wong, Chenxi Wang, Dawn Xiaodong Song, Stan Bielski, Gregory R. Ganger |
Dynamic Quarantine of Internet Worms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June - 1 July 2004, Florence, Italy, Proceedings, pp. 73-82, 2004, IEEE Computer Society, 0-7695-2052-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Hovav Shacham, Matthew Page, Ben Pfaff, Eu-Jin Goh, Nagendra Modadugu, Dan Boneh |
On the effectiveness of address-space randomization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 11th ACM Conference on Computer and Communications Security, CCS 2004, Washington, DC, USA, October 25-29, 2004, pp. 298-307, 2004, ACM, 1-58113-961-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
address-space randomization, automated attacks, diversity |
10 | Mingwei Gong, Carey L. Williamson |
Simulation Evaluation of Hybrid SRPT Scheduling Policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2004), 4-8 October 2004, Vollendam, The Netherlands, pp. 355-363, 2004, IEEE Computer Society, 0-7695-2251-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Scheduling, Performance Analysis, Trace-Driven Simulation, Web Server Performance |
10 | Dong Lu, Huanyuan Sheng, Peter A. Dinda |
Size-Based Scheduling Policies with Inaccurate Scheduling Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2004), 4-8 October 2004, Vollendam, The Netherlands, pp. 31-38, 2004, IEEE Computer Society, 0-7695-2251-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Nathanael Schärli, Andrew P. Black, Stéphane Ducasse |
Object-oriented encapsulation for dynamically typed languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 19th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2004, October 24-28, 2004, Vancouver, BC, Canada, pp. 130-149, 2004, ACM, 1-58113-831-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
encapsulation policies, information hiding, smalltalk, encapsulation, dynamic typing |
10 | Oukseh Lee, Kwangkeun Yi |
Experiments on the effectiveness of an automatic insertion of memory reuses into ML-like programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 4th International Symposium on Memory Management, ISMM 2004, Vancouver, BC, Canada, October 24-25, 2004, pp. 97-107, 2004, ACM, 1-58113-945-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
program transformation, program analysis, memory management |
10 | Paolo Bernardi, Guido Masera, Federico Quaglio, Matteo Sonza Reorda |
Testing Logic Cores using a BIST P1500 Compliant Approach: A Case of Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 228-233, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Chuanjun Zhang, Jun Yang 0002, Frank Vahid |
Low Static-Power Frequent-Value Data Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 214-219, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Mazen Kharbutli, Keith Irwin, Yan Solihin, Jaejin Lee |
Using Prime Numbers for Cache Indexing to Eliminate Conflict Misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 288-299, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Kyung Dong Ryu, Jeffrey K. Hollingsworth |
Unobtrusiveness and Efficiency in Idle Cycle Stealing for PC Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
idle cycle stealing, cluster computing, networks of workstations, process migration, Desktop grid, meta-computing |
10 | Adam J. Oliner, Ramendra K. Sahoo, José E. Moreira, Manish Gupta 0002, Anand Sivasubramaniam |
Fault-Aware Job Scheduling for BlueGene/L Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Achim Streit |
Evaluation of an Unfair Decider Mechanism for the Self-Tuning dynP Job Scheduler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Chao Wang 0050, Srinivasan Parthasarathy 0001 |
Parallel algorithms for mining frequent structural motifs in scientific data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS 2004, Saint Malo, France, June 26 - July 01, 2004, pp. 31-40, 2004, ACM, 1-58113-839-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
atomset, backward pruning, bitonic partitioning, recursive fuzzy hashing, self-adaptive expansion, motif |
10 | Vishal Khandelwal, Ankur Srivastava 0001 |
Leakage control through fine-grained placement and sizing of sleep transistors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 533-536, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Pedro Chaparro, José González 0002, Antonio González 0001 |
Thermal-Aware Clustered Microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 48-53, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Adam K. L. Wong, Andrzej M. Goscinski |
Scheduling of a Parallel Computation-Bound Application and Sequential Applications Executing Concurrently on a Cluster - A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Second InternationalSymposium, ISPA 2004, Hong Kong, China, December 13-15, 2004, Proceedings, pp. 648-655, 2004, Springer, 3-540-24128-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Vandy Berten, Joël Goossens |
On the Job Distribution in Random Brokering for Computational Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Second InternationalSymposium, ISPA 2004, Hong Kong, China, December 13-15, 2004, Proceedings, pp. 367-371, 2004, Springer, 3-540-24128-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Gerald Sabin, Garima Kochhar, P. Sadayappan |
Job Fairness in Non-Preemptive Job Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 33rd International Conference on Parallel Processing (ICPP 2004), 15-18 August 2004, Montreal, Quebec, Canada, pp. 186-194, 2004, IEEE Computer Society, 0-7695-2197-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Jonghyun Lee 0001, Robert B. Ross, Rajeev Thakur, Xiaosong Ma, Marianne Winslett |
RFS: efficient and flexible remote file access for MPI-IO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2004 IEEE International Conference on Cluster Computing (CLUSTER 2004), September 20-23 2004, San Diego, California, USA, pp. 71-81, 2004, IEEE Computer Society, 0-7803-8694-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Xiaotong Zhuang, Tao Zhang 0037, Santosh Pande |
HIDE: an infrastructure for efficiently protecting information leakage on the address bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 72-84, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
address bus leakage protection, secure processor |
10 | Vidyasagar Nookala, Sachin S. Sapatnekar |
A method for correcting the functionality of a wire-pipelined circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 570-575, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
synchronous design, wire pipelining |
10 | Yanyong Zhang, Mark S. Squillante, Anand Sivasubramaniam, Ramendra K. Sahoo |
Performance Implications of Failures in Large-Scale Cluster Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JSSPP ![In: Job Scheduling Strategies for Parallel Processing, 10th International Workshop, JSSPP 2004, New York, NY, USA, June 13, 2004, Revised Selected Papers, pp. 233-252, 2004, Springer, 3-540-25330-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Lauren Hui Chen, Malgorzata Marek-Sadowska, Forrest Brewer |
Buffer delay change in the presence of power and ground noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 11(3), pp. 461-473, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Mor Harchol-Balter, Bianca Schroeder, Nikhil Bansal 0001, Mukesh Agrawal 0002 |
Size-based scheduling to improve web performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 21(2), pp. 207-233, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
SJF, system performance and design, scheduling, networking, web servers, Conservation law, SRPT |
10 | Hanhua Feng, Vishal Misra |
Mixed scheduling disciplines for network flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 31(2), pp. 36-39, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Adam L. Buchsbaum, Glenn S. Fowler, Raffaele Giancarlo |
Improving table compression with combinatorial optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 50(6), pp. 825-851, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
table compression, dynamic programming, experimental algorithmics, Asymmetric traveling salesman problem |
10 | Ali Amiri 0001, Syam Menon |
Efficient scheduling of Internet banner advertisements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Internet Techn. ![In: ACM Trans. Internet Techn. 3(4), pp. 334-346, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
banner advertising, scheduling, WWW |
10 | Gregorio Bernabé, José M. García 0001, José González 0002 |
Reducing 3D Wavelet Transform Execution Time through the Streaming SIMD Extensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 11th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2003), 5-7 February 2003, Genova, Italy, pp. 49-56, 2003, IEEE Computer Society, 0-7695-1875-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Xiao Qin 0001, Hong Jiang 0001, Yifeng Zhu, David R. Swanson |
Dynamic Load Balancing for I/O-Intensive Tasks on Heterogeneous Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2003, 10th International Conference, Hyderabad, India, December 17-20, 2003, Proceedings, pp. 300-309, 2003, Springer, 3-540-20626-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Yossi Matias, Ely Porat |
Efficient Pebbling for List Traversal Synopses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP ![In: Automata, Languages and Programming, 30th International Colloquium, ICALP 2003, Eindhoven, The Netherlands, June 30 - July 4, 2003. Proceedings, pp. 918-928, 2003, Springer, 3-540-40493-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Arani Sinha, Sandeep K. Gupta 0001, Melvin A. Breuer |
An Enhanced Test Generator for Capacitance Induced Crosstalk Delay Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, pp. 174-177, 2003, IEEE Computer Society, 0-7695-1951-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Lars Ailo Bongo, Otto J. Anshus, John Markus Bjørndalen |
EventSpace - Exposing and Observing Communication Behavior of Parallel Cluster Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings, pp. 47-56, 2003, Springer, 3-540-40788-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Chung-Hsing Hsu, Ulrich Kremer |
The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation 2003, San Diego, California, USA, June 9-11, 2003, pp. 38-48, 2003, ACM, 1-58113-662-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dynamic voltage scaling, energy savings |
10 | Prasad Jayanti, Srdjan Petrovic |
Efficient and practical constructions of LL/SC variables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Second ACM Symposium on Principles of Distributed Computing, PODC 2003, Boston, Massachusetts, USA, July 13-16, 2003, pp. 285-294, 2003, ACM, 1-58113-708-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Maurits Ortmanns, Friedel Gerfers, Yiannos Manoli |
Influence of finite integrator gain bandwidth on continuous-time sigma delta modulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 925-928, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Lakshminarayanan Renganarayanan, Sanjay V. Rajopadhye |
Switched Memory Architectures-Moving Beyond Systolic Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 14th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2003), 24-26 June 2003, The Hague, The Netherlands, pp. 28-39, 2003, IEEE Computer Society, 0-7695-1992-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Xiao Qin 0001, Hong Jiang 0001, Yifeng Zhu, David R. Swanson |
Boosting Performance for I/O-Intensive Workload by Preemptive Job Migrations in a Cluster System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 15th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2003), 10-12 November 2003, Sao Paulo, Brazil, pp. 235-245, 2003, IEEE Computer Society, 0-7695-2046-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Christos D. Antonopoulos, Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou |
Scheduling Algorithms with Bus Bandwidth Considerations for SMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 32nd International Conference on Parallel Processing (ICPP 2003), 6-9 October 2003, Kaohsiung, Taiwan, pp. 547-554, 2003, IEEE Computer Society, 0-7695-2017-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Anca I. D. Bucur, Dick H. J. Epema |
Trace-Based Simulations of Processor Co-Allocation Policies in Multiclusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: 12th International Symposium on High-Performance Distributed Computing (HPDC-12 2003), 22-24 June 2003, Seattle, WA, USA, pp. 70-79, 2003, IEEE Computer Society, 0-7695-1965-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Kamil Iskra, G. Dick van Albada, Peter M. A. Sloot |
Time Warp Cancellation Optimisations on High Latency Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DS-RT ![In: 7th IEEE International Symposium on Distributed Simulation and Real-Time Applications (DS-RT 2003), 23-25 October 2003, Delft, The Netherlands, pp. 128-137, 2003, IEEE Computer Society, 0-7695-2036-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
bulk anti-messages, lazy cancellation, high latency networks, Grid, Time Warp, parallel discrete event simulation |
10 | Min Xu, Rastislav Bodík, Mark D. Hill |
A "Flight Data Recorder" for Enabling Full-System Multiprocessor Deterministic Replay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 30th International Symposium on Computer Architecture (ISCA 2003), 9-11 June 2003, San Diego, California, USA, pp. 122-133, 2003, IEEE Computer Society, 0-7695-1945-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Edi Shmueli, Dror G. Feitelson |
Backfilling with Lookahead to Optimize the Performance of Parallel Job Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JSSPP ![In: Job Scheduling Strategies for Parallel Processing, 9th International Workshop, JSSPP 2003, Seattle, WA, USA, June 24, 2003, Revised Papers, pp. 228-251, 2003, Springer, 3-540-20405-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Eitan Frachtenberg, Dror G. Feitelson, Juan Fernández 0001, Fabrizio Petrini |
Parallel Job Scheduling under Dynamic Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JSSPP ![In: Job Scheduling Strategies for Parallel Processing, 9th International Workshop, JSSPP 2003, Seattle, WA, USA, June 24, 2003, Revised Papers, pp. 208-227, 2003, Springer, 3-540-20405-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dynamic workloads, STORM, flexible coscheduling, parallel architectures, Cluster computing, job scheduling, gang scheduling, heterogeneous clusters |
10 | Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu |
Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(9), pp. 977-994, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing |
10 | Mor Harchol-Balter |
Task assignment with unknown duration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 49(2), pp. 260-288, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
contrary behavior, heavy-tailed workloads, high variance, Clusters, load balancing, fairness, job scheduling, supercomputing, task assignment, load sharing, distributed servers |
10 | Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer |
Test Generation for Crosstalk-Induced Faults: Framework and Computational Results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(1), pp. 17-28, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
time-based test generation, fault modeling, crosstalk, mixed-signal test |
10 | Adam L. Buchsbaum, Glenn S. Fowler, Raffaele Giancarlo |
Improving table compression with combinatorial optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Thirteenth Annual ACM-SIAM Symposium on Discrete Algorithms, January 6-8, 2002, San Francisco, CA, USA., pp. 213-222, 2002, ACM/SIAM, 0-89871-513-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
10 | Greg Snider |
Performance-constrained pipelining of software loops onto reconfigurable hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2002, Monterey, CA, USA, February 24-26, 2002, pp. 177-186, 2002, ACM, 1-58113-452-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Dirk Grunwald, Soraya Ghiasi |
Microarchitectural denial of service: insuring microarchitectural fairness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002, pp. 409-418, 2002, ACM/IEEE Computer Society, 0-7695-1859-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Carlo Fantozzi, Andrea Pietracaprina, Geppino Pucci |
Seamless Integration of Parallelism and Memory Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP ![In: Automata, Languages and Programming, 29th International Colloquium, ICALP 2002, Malaga, Spain, July 8-13, 2002, Proceedings, pp. 856-867, 2002, Springer, 3-540-43864-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Christophe Reffay, Thierry Chanier |
Social Network Analysis Used for Modelling Collaboration in Distance Learning Groups. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Tutoring Systems ![In: Intelligent Tutoring Systems, 6th International Conference, ITS 2002, Biarritz, France and San Sebastian, Spain, June 2-7, 2002, Proceedings, pp. 31-40, 2002, Springer, 3-540-43750-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Satoshi Fujita |
A Semi-dynamic Multiprocessor Scheduling Algorithm with an Asymptotically Optimal Competitive Ratio. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 240-247, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Elie Krevat, José G. Castaños, José E. Moreira |
Job Scheduling for the BlueGene/L System (Research Note). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 207-211, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Liang Guo 0016, Ibrahim Matta |
Scheduling flows with unknown sizes: approximate analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2002, June 15-19, 2002, Marina Del Rey, California, USA, pp. 276-277, 2002, ACM, 1-58113-531-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Emir Pasalic, Walid Taha, Tim Sheard |
Tagless staged interpreters for typed languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the Seventh ACM SIGPLAN International Conference on Functional Programming (ICFP '02), Pittsburgh, Pennsylvania, USA, October 4-6, 2002., pp. 218-229, 2002, ACM, 1-58113-487-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
calculus of constructions, definitional interpreters, domain-specific languages, multi-stage programming |
10 | Markus Mock, Darren C. Atkinson, Craig Chambers, Susan J. Eggers |
Improving program slicing with dynamic points-to data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the Tenth ACM SIGSOFT Symposium on Foundations of Software Engineering 2002, Charleston, South Carolina, USA, November 18-22, 2002, pp. 71-80, 2002, ACM, 1-58113-514-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
dynamic analysis, program slicing, points-to analysis |
10 | Giuseppe Desoli, Nikolay Mateev, Evelyn Duesterwald, Paolo Faraboschi, Josh Fisher |
A New Facility for Dynamic Control of Program Execution: DELI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Embedded Software, Second International Conference, EMSOFT 2002, Grenoble, France, October 7-9, 2002, Proceedings, pp. 305-318, 2002, Springer, 3-540-44307-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Dimitrios S. Nikolopoulos, Constantine D. Polychronopoulos |
Adaptive Scheduling under Memory Pressure on Multiprogrammed Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 2nd IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2002), 22-24 May 2002, Berlin, Germany, pp. 22-29, 2002, IEEE Computer Society, 0-7695-1582-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Susanne Hoche, Stefan Wrobel |
Scaling Boosting by Margin-Based Inclusionof Features and Relations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: ECML 2002, 13th European Conference on Machine Learning, Helsinki, Finland, August 19-23, 2002, Proceedings, pp. 148-160, 2002, Springer, 3-540-44036-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Chi-Hsiang Yeh, Behrooz Parhami |
ART: Robustness of Meshes and Tori for Parallel and Distributed Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 31st International Conference on Parallel Processing (ICPP 2002), 20-23 August 2002, Vancouver, BC, Canada, pp. 463-472, 2002, IEEE Computer Society, 0-7695-1677-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|