The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculative with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1990 (15) 1991-1992 (23) 1993 (21) 1994 (25) 1995 (31) 1996 (20) 1997 (34) 1998 (44) 1999 (61) 2000 (56) 2001 (53) 2002 (72) 2003 (74) 2004 (85) 2005 (99) 2006 (79) 2007 (93) 2008 (76) 2009 (74) 2010 (66) 2011 (51) 2012 (55) 2013 (51) 2014 (47) 2015 (52) 2016 (48) 2017 (61) 2018 (66) 2019 (104) 2020 (84) 2021 (94) 2022 (91) 2023 (111) 2024 (30)
Publication types (Num. hits)
article(547) incollection(12) inproceedings(1433) phdthesis(54)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1204 occurrences of 608 keywords

Results
Found 2046 publication records. Showing 2046 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative execution for hiding memory latency. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Won Woo Ro, Jean-Luc Gaudiot A Low-Complexity Issue Queue Design with Speculative Pre-execution. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Pierre Salverda, Grigore Rosu, Craig B. Zilles Formally Defining and Verifying Master/Slave Speculative Parallelization. Search on Bibsonomy FM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Werner Damm Controlling Speculative Design Processes Using Rich Component Models. Search on Bibsonomy ACSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Xiao-Feng Li, Zhao-Hui Du, Chen Yang, Chu-Cheow Lim, Tin-Fook Ngai Speculative Parallel Threading Architecture and Compilation. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Nana B. Sam, Martin Burtscher On the energy-efficiency of speculative hardware. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy-performance metric, energy-efficiency, speculation
22Yongxiang Liu, Gokhan Memik, Glenn Reinman Reducing the Energy of Speculative Instruction Schedulers. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Arkadiusz Danilecki, Anna Kobusinska, Michal Szychowiak Checkpointing Speculative Distributed Shared Memory. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jaehyuk Huh 0001, Doug Burger, Jichuan Chang, Gurindar S. Sohi Speculative Incoherent Cache Protocols. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ravi Rajwar, Alain Kägi, James R. Goodman Inferential Queueing and Speculative Push. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inferential queueing, migratory sharing, Synchronization, critical sections, data forwarding
22Bernhard Scholz, R. Nigel Horspool, Jens Knoop Optimizing for space and time usage with speculative partial redundancy elimination. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partial redundancy, speculation, code motion, profile-guided optimization, common subexpressions
22Jürgen Foag Speculative Network Processor for Quality-of-Service-Aware Protocol Processing. Search on Bibsonomy NCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Peter G. Sassone, D. Scott Wills Dynamic Strands: Collapsing Speculative Dependence Chains for Reducing Pipeline Communication. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Bengu Li, Youtao Zhang, Rajiv Gupta 0001 Speculative Subword Register Allocation in Embedded Processors. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Frank Hannig, Jürgen Teich Resource Constrained and Speculative Scheduling of an Algorithm Class with Run-Time Dependent Conditionals. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22José F. Martínez, Josep Torrellas Speculative Synchronization: Programmability and Performance for Parallel Codes. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yoshimitsu Yanagawa, Luong Dinh Hung, Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Complexity Analysis of a Cache Controller for Speculative Multithreading Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Fredrik Warg, Per Stenström Improving Speculative Thread-Level Parallelism Through Module Run-Length Prediction. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF module-level parallelism, module run-length prediction, performance evaluation, Multiprocessors, thread-level speculation
22Ravi Rajwar, Alain Kägi, James R. Goodman Inferential queueing and speculative push for reducing critical communication latencies. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF inferential queueing, synchronization, data forwarding
22Sobeeh Almukhaizim, Thomas Verdel, Yiorgos Makris Cost-Effective Graceful Degradation in Speculative Processor Subsystems: The Branch Prediction Case. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Michael Angermann Analysis of speculative prefetching. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Manel Fernández, Roger Espasa Speculative Alias Analysis for Executable Code. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Craig B. Zilles, Gurindar S. Sohi Master/slave speculative parallelization. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Francis H. Dang, Hao Yu 0008, Lawrence Rauchwerger The R-LRPD Test: Speculative Parallelization of Partially Parallel Loops. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Abhik Roychoudhury, Xianfeng Li, Tulika Mitra Timing Analysis of Embedded Software for Speculative Processors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF worst case execution time, branch prediction
22Jeffrey T. Oplinger, Monica S. Lam Enhancing software reliability with speculative threads. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Hidetomo Nabeshima, Koji Iwanuma, Katsumi Inoue Effective SAT Planning by Speculative Computation. Search on Bibsonomy Australian Joint Conference on Artificial Intelligence The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Fredrik Warg, Per Stenström Limits on Speculative Module-Level Parallelism in Imperative and Object-Oriented Programs on CMP Platforms. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Bradley L. Noble, J. Cris Wade, Roger D. Chamberlain Performance Predictions for Speculative, Synchronous, VLSI Logic Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Improving Conditional Branch Prediction on Speculative Multithreading Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Chong-liang Ooi, Seon Wook Kim, Il Park 0001, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Ugur Çetintemel, Peter J. Keleher, Michael J. Franklin Support for Speculative Update Propagation and Mobility in Deno. Search on Bibsonomy ICDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Francis H. Dang, Lawrence Rauchwerger Speculative Parallelization of Partially Parallel Loops. Search on Bibsonomy LCR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jaroslaw Forenc, Andrzej Jordan, Marek Tudruj Speculative Parallel Processing Applied to Modeling of Initial Problems in Electrical Circuits. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Tamarah Arons, Amir Pnueli A Comparison of Two Verification Methods for Speculative Instruction Execution. Search on Bibsonomy TACAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Alexander B. Godlevsky, Martin Gazák, Ladislav Hluchý Parallelizing of Seqential Programs on the Basis of Pipeline and Speculative Features of the Operators. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22John P. Morrison, Martin Rem Speculative Computing in the Condensed Graphs Machine. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Parallel Processing, Dataflow, Speculation, Graph Reduction, Condensed Graphs
22Hank G. Dietz Speculative Predication Across Arbitrary Interprocedural Control Flow. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Jun Sawada, Warren A. Hunt Jr. Processor Verification with Precise Exeptions and Speculative Execution. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Rafael R. dos Santos, Philippe Olivier Alexandre Navaux Analysing a Multistreamed Superscalar Speculative Fetch Mechanism. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Steven M. Nowick, Kenneth Y. Yun, Ayoob E. Dooply, Peter A. Beerel Speculative Completion for the Design of High-Performance Asynchronous Dynamic Adders. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF completion detection, Brent-Kung, Carry-Bypass, asynchronous, adders, hazards, high-performance design
22Yvon Jégou, Olivier Temam Speculative Prefetching. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Bogumil Hausman Pruning and Scheduling Speculative Work in Or-Parallel Prolog. Search on Bibsonomy PARLE (2) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Matthew Canton The presence table: a reactive surface for ambient connection. Search on Bibsonomy TEI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mario Méndez-Lojo, Donald Nguyen, Dimitrios Prountzos, Xin Sui, Muhammad Amber Hassaan, Milind Kulkarni 0001, Martin Burtscher, Keshav Pingali Structure-driven optimizations for amorphous data-parallel programs. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF amorphous data-parallelism, cautious operator implementations, iteration coalescing, one-shot optimization, synchronization overheads, optimistic parallelization, irregular programs
17Colin Blundell, Milo M. K. Martin, Thomas F. Wenisch InvisiFence: performance-transparent memory ordering in conventional multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, memory consistency
17Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads to improve SMT performance. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Matthew Fluet, Mike Rainey, John H. Reppy A scheduling framework for general-purpose parallel languages. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heterogeneous parallel languages, scheduling, compilers, run-time systems
17Patrick Akl, Andreas Moshovos Turbo-ROB: A Low Cost Checkpoint/Restore Accelerator. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Andrey Brito Optimistic parallelization support for event stream processing systems. Search on Bibsonomy Middleware (Doctoral Symposium) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software transactional memory, optimistic parallelization, event stream processing
17Bumyong Choi, Leo Porter 0001, Dean M. Tullsen Accurate branch prediction for short threads. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, branch prediction
17Wenjing Rao, Alex Orailoglu, Ramesh Karri Towards Nanoelectronics Processor Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, computational model, processor architecture, nanoelectronics, time redundancy, hardware redundancy
17Satish Narayanasamy, Ayse K. Coskun, Brad Calder Transient fault prediction based on anomalies in processor events. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Derek Chiou, Dam Sunwoo, Joonsoo Kim, Nikhil A. Patil, William H. Reinhart, Darrel Eric Johnson, Jebediah Keefe, Hari Angepat FPGA-Accelerated Simulation Technologies (FAST): Fast, Full-System, Cycle-Accurate Simulators. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Liqun Cheng, John B. Carter, Donglai Dai An Adaptive Cache Coherence Protocol Optimized for Producer-Consumer Sharing. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Christoph von Praun, Luis Ceze, Calin Cascaval Implicit parallelism with ordered transactions. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ordered transactions, parallel programming, transactional memory, thread-level speculation, program parallelization, implicit parallelism
17Huiyang Zhou A case for fault tolerance and performance enhancement using chip multi-processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Laurence A. Wolsey Lot-sizing with production and delivery time windows. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Production time windows, Convex hull, Mixed integer programming, Lot-sizing
17Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Eric Petit 0003, François Bodin, Guillaume Papaure, Florence Dru Poster reception - ASTEX: a hot path based thread extractor for distributed memory system on a chip. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xianfeng Li, Tulika Mitra, Abhik Roychoudhury Modeling Control Speculation for Timing Analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro-architectural modeling, worst case execution time, branch prediction, schedulability analysis, instruction cache
17Harit Modi, Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Accurate Modeling of Aggressive Speculation in Modern Microprocessor Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jaroslaw Forenc, Andrzej Jordan, Marek Tudruj Parallel Modeling of Transient States Analysis in Electrical Circuits. Search on Bibsonomy PVM/MPI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Matthew Curtis-Maury, Tanping Wang Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications. Search on Bibsonomy QEST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Smruti R. Sarangi, Wei Liu, Yuanyuan Zhou ReSlice: Selective Re-Execution of Long-Retired Misspeculated Instructions Using Forward Slicing. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nathan Tuck, Dean M. Tullsen Multithreaded Value Prediction. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Lawrence Spracklen, Santosh G. Abraham Chip Multithreading: Opportunities and Challenges. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault tolerant nanoelectronic processor architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jason Nelson The bomar gene: fictiobiography, digiart, hypertext. Search on Bibsonomy ACM Multimedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ficto-biography, interactive, genetics, fiction, net-art
17Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman Tornado warning: the perils of selective replay in multithreaded processors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kai Shen Parallel sparse LU factorization on second-class message passing platforms. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wenjing Rao, Alex Orailoglu, Ramesh Karri Architectural-Level Fault Tolerant Computation in Nanoelectronic Processors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Amir Roth Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Vlad Petric, Tingting Sha, Amir Roth RENO - A Rename-Based Instruction Optimizer. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Takashi Yokota, Moriyuki Saito, Fumihito Furukawa, Kanemitsu Ootsu, Takanobu Baba Two-Path Limited Speculation Method for Static/Dynamic Optimization in Multithreaded Systems. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Coupling compiler-enabled and conventional memory accessing for energy efficiency. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF translation buffers, virtually addressed caches, Energy efficiency
17Jinson Koppanalil, Eric Rotenberg A Simple Mechanism for Detecting Ineffectual Instructions in Slipstream Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF slipstream, preexecution, chip multiprocessor, multithreading, Microarchitecture
17Hakan Aydin, Rami G. Melhem, Daniel Mossé, Pedro Mejía-Alvarez Power-Aware Scheduling for Periodic Real-Time Tasks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Real-time systems, dynamic voltage scaling, power-aware computing, low-power systems, periodic task scheduling
17Dakai Zhu 0001, Daniel Mossé, Rami G. Melhem Power-Aware Scheduling for AND/OR Graphs in Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AND/OR, real-time systems, Power-aware scheduling
17Peng-Sheng Chen, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Interprocedural Probabilistic Pointer Analysis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interprocedural program analysis, optimization, compilers, Multithreading, speculation, points-to analysis
17Sumit Gupta, Nicolae Savoiu, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Using global code motions to improve the quality of results for high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Weidong Shi, Hsien-Hsin S. Lee, Mrinmoy Ghosh, Chenghuai Lu Architectural Support for High Speed Protection of Memory Integrity and Confidentiality in Multiprocessor Systems. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Vinod Viswanath Multi-log Processor - Towards Scalable Event-Driven Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17David N. Armstrong, Hyesoon Kim, Onur Mutlu, Yale N. Patt Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shoichi Hirasawa, Kei Hiraki Utilizing Dynamic Data Value Localities in Internal Variables. Search on Bibsonomy PDCAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data value reuse, automatic parallel execution, Value locality
17Hong Wang 0003, Shiri Manor, Dave LaFollette, Nadav Nesher, Ku-jei King, Perry H. Wang, Shay Levy, Shai Satt, Gal Carmeli, Arjun Kapur, Ioannis Schoinas, Ed Rubinstein, Rahul Bhatt Inferno: a functional simulation infrastructure for modeling microarchitectural data speculations. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kenneth J. Mackin, Kazuko Yamasaki Emergence in Agents with Different Internal Time Frames. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Il Park 0001, Babak Falsafi, T. N. Vijaykumar Iimplicitly-Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Xianfeng Li, Tulika Mitra, Abhik Roychoudhury Accurate timing analysis by modeling caches, speculation and their interaction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache, worst case execution time, branch prediction
17Andreas Moshovos, Gurindar S. Sohi Reducing Memory Latency via Read-after-Read Memory Dependence Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory dependence prediction, cache, dynamic optimization, load
17Daniel Ortega, Eduard Ayguadé, Jean-Loup Baer, Mateo Valero Cost-Effective Compiler Directed Memory Prefetching and Bypassing. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jamison D. Collins, Suleyman Sair, Brad Calder, Dean M. Tullsen Pointer cache assisted prefetching. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Matthew Arnold, Barbara G. Ryder Thin Guards: A Simple and Effective Technique for Reducing the Penalty of Dynamic Class Loading. Search on Bibsonomy ECOOP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jürgen Foag, Thomas Wild, Nuria Pazos, Winthir Brunnbauer Predictive methodology for high-performance networking. Search on Bibsonomy ISCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Lori Carter, Weihaw Chuang, Brad Calder An EPIC Processor with Pending Functional Units. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17André Rauber Du Bois, Robert F. Pointon, Hans-Wolfgang Loidl, Philip W. Trinder Implementing Declarative Parallel Bottom-Avoiding Choice. Search on Bibsonomy SBAC-PAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Dakai Zhu 0001, Nevine AbouGhazaleh, Daniel Mossé, Rami G. Melhem Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Harold W. Cain, Kevin M. Lepak, Mikko H. Lipasti A dynamic binary translation approach to architectural simulation. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2046 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license