Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Joshua Redstone, Susan J. Eggers, Henry M. Levy |
An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, MA, USA, November 12-15, 2000., pp. 245-256, 2000, ACM Press, 1-58113-317-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Ramon Canal, Joan-Manuel Parcerisa, Antonio González 0001 |
A Cost-Effective Clustered Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 160-168, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
code partitioning, dynamic steering, inter-cluster communication, cluster, microarchitecture, clustered architecture, workload balance |
17 | Heiko Oehring, Ulrich Sigmund, Theo Ungerer |
MPEG-2 Video Decompression on Simultaneous Multithreaded Multimedia Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 11-16, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
MPEG-2 video decompression, Simultaneous multithreading, multimedia extension |
17 | Ryotaro Kobayashi, Yukihiro Ogawa, Hideki Ando, Toshio Shimada, Mitsuaki Iwata |
An On-Chip Multiprocessor Architecture with a Non-Blocking Synchronization Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1432-1440, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao |
Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings, pp. 70-84, 1999, Springer, 3-540-67858-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Freddy Gabbay, Avi Mendelson |
Using Value Prediction to Increase the Power of Speculative Execution Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 16(3), pp. 234-270, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
stride value prediction, speculative execution, value prediction |
17 | Matthias Menge |
Superskalare Prozessoren. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inform. Spektrum ![In: Inform. Spektrum 21(3), pp. 121-130, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Scoreboarding, Reservierungseinheit, Competion-Unit, Retirement-Unit, History-Buffer, Reorder-Buffer |
17 | Pedro Marcuello, Antonio González 0001 |
Data Speculative Multithreaded Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 24th EUROMICRO '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden, pp. 10321-10324, 1998, IEEE Computer Society, 0-8186-8646-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh |
An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 39-50, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Kimberly Keeton, David A. Patterson 0001, Yong Qiang He, Roger C. Raphael, Walter E. Baker |
Performance Characterization of a Quad Pentium Pro SMP using OLTP Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 15-26, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Kenneth M. Wilson, Kunle Olukotun |
Designing High Bandwidth On-Chip Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997, pp. 121-132, 1997, ACM, 0-89791-901-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, Kenneth G. Wilson, Kunyung Chang |
The Case for a Single-Chip Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 2-11, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Karel Driesen, Urs Hölzle, Jan Vitek |
Message Dispatch on Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP'95 - Object-Oriented Programming, 9th European Conference, Århus, Denmark, August 7-11, 1995, Proceedings, pp. 253-282, 1995, Springer, 3-540-60160-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
message dispatch, performance, implementation, computer architecture |
17 | Thomas M. Conte, Kishore N. Menezes, Patrick M. Mills, Burzin A. Patel |
Optimization of Instruction Fetch Mechanisms for High Issue Rates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 333-344, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Zhi-Guo Yu, Xiao-Yu Zhong, Xiao-Jie Ma, Xiaofeng Gu |
W-IQ: Wither-logic based issue queue for RISC-V superscalar out-of-order processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 94, pp. 102109, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Taichi Amano, Junichiro Kadomoto, Satoshi Mitsuno, Toru Koizumi 0001, Ryota Shioya, Hidetsugu Irie, Shuichi Sakai |
An Out-of-Order Superscalar Processor Using STRAIGHT Architecture in 28 nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2023, Monterey, CA, USA, May 21-25, 2023, pp. 1-5, 2023, IEEE, 978-1-6654-5109-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Benjamin Binder 0001, Mihail Asavoae, Florian Brandner, Belgacem Ben Hedia, Mathieu Jan |
Formal modeling and verification for amplification timing anomalies in the superscalar TriCore architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 24(3), pp. 415-440, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Nicolas Derumigny, Théophile Bastian, Fabian Gruber, Guillaume Iooss, Christophe Guillon, Louis-Noël Pouchet, Fabrice Rastello |
PALMED: Throughput Characterization for Superscalar Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: IEEE/ACM International Symposium on Code Generation and Optimization, CGO 2022, Seoul, Korea, Republic of, April 2-6, 2022, pp. 106-117, 2022, IEEE, 978-1-6654-0584-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Bingcai Sui, Caixia Sun, Yongwen Wang, Hui Guo |
Design and optimization of Issue queue in Out-of-Order superscalar microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CACML ![In: Asia Conference on Algorithms, Computing and Machine Learning, CACML 2011, Hangzhou, China, March 25-27, 2022, pp. 294-298, 2022, IEEE, 978-1-6654-8290-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Alexander Antonov |
Superscalar Out-of-Order RISC-V ASIP Based on Programmable Hardware Generator with Decoupled Computations and Flow Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MECO ![In: 11th Mediterranean Conference on Embedded Computing, MECO 2022, Budva, Montenegro, June 7-10, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-6828-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Barbara Gigerl, Robert Primas, Stefan Mangard |
Secure and Efficient Software Masking on Superscalar Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2021, pp. 1110, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
17 | Barbara Gigerl, Robert Primas, Stefan Mangard |
Secure and Efficient Software Masking on Superscalar Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIACRYPT (2) ![In: Advances in Cryptology - ASIACRYPT 2021 - 27th International Conference on the Theory and Application of Cryptology and Information Security, Singapore, December 6-10, 2021, Proceedings, Part II, pp. 3-32, 2021, Springer, 978-3-030-92074-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Rosa M. Badia |
Superscalar Programming Models: A Perspective from Barcelona. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: HPDC '21: The 30th International Symposium on High-Performance Parallel and Distributed Computing, Virtual Event, Sweden, June 21-25, 2021., pp. 5, 2021, ACM, 978-1-4503-8217-5. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Timothée Ewart, Francesco Cremonesi, Felix Schürmann, Fabien Delalondre |
Polynomial Evaluation on Superscalar Architecture, Applied to the Elementary Function ex. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 46(3), pp. 28:1-28:22, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
17 | Ying Zhang 0040, Krishnendu Chakrabarty, Zebo Peng, Ahmed Rezine, Huawei Li 0001, Petru Eles, Jianhui Jiang |
Software-Based Self-Testing Using Bounded Model Checking for Out-of-Order Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(3), pp. 714-727, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
17 | T. Gokulan, Akshay Muraleedharan, Kuruvilla Varghese |
Design of a 32-bit, dual pipeline superscalar RISC-V processor on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 23rd Euromicro Conference on Digital System Design, DSD 2020, Kranj, Slovenia, August 26-28, 2020, pp. 340-343, 2020, IEEE, 978-1-7281-9535-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
17 | Dejan Spasov |
An Improvement in the Convergence of Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIPRO ![In: 43rd International Convention on Information, Communication and Electronic Technology, MIPRO 2020, Opatija, Croatia, September 28 - October 2, 2020, pp. 114-117, 2020, IEEE, 978-953-233-099-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
17 | Benjamin Binder 0001, Mihail Asavoae, Florian Brandner, Belgacem Ben Hedia, Mathieu Jan |
Scalable Detection of Amplification Timing Anomalies for the Superscalar TriCore Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS ![In: Formal Methods for Industrial Critical Systems - 25th International Conference, FMICS 2020, Vienna, Austria, September 2-3, 2020, Proceedings, pp. 151-169, 2020, Springer, 978-3-030-58297-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
17 | Kleovoulos Kalaitzidis |
Advanced Speculation to Increase the Performance of Superscalar Processors. (Spéculation Avancée pour Augmenter Les Performances des Processeurs Superscalaires). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2020 |
RDF |
|
17 | Bharath Srinivas Prabakaran, Mihika Dave, Florian Kriebel, Semeen Rehman, Muhammad Shafique 0001 |
Architectural-Space Exploration of Heterogeneous Reliability and Checkpointing Modes for Out-of-Order Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 7, pp. 145324-145339, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Yumin Hou, Xu Wang, Jiawei Fu, Junping Ma, Hu He 0001, Xu Yang 0003 |
Improving ILP via Fused In-Order Superscalar and VLIW Instruction Dispatch Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 28(2), pp. 1950020:1-1950020:20, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Julien Proy, Karine Heydemann, Fabien Majéric, Albert Cohen 0001, Alexandre Berzati |
Studying EM Pulse Effects on Superscalar Microarchitectures at ISA Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1903.02623, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
17 | Mutaz A. B. Al-Tarawneh |
Analysis of the Factors Influencing Architectural Time-Predictability of Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Eng. ![In: J. Comput. Sci. Eng. 13(2), pp. 39-65, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Rafael Billig Tonetto, Douglas Maciel Cardoso, Marcelo Brandalero, Luciano Agostini, Gabriel L. Nazar, José Rodrigo Azambuja, Antonio Carlos Schneider Beck |
A Knapsack Methodology for Hardware-based DMR Protection against Soft Errors in Superscalar Out-of-Order Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 27th IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019, pp. 287-292, 2019, IEEE, 978-1-7281-3915-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Niranjan Soundararajan, Saurabh Gupta, Ragavendra Natarajan, Jared Stark, Rahul Pal, Franck Sala, Lihu Rappoport, Adi Yoaz, Sreenivas Subramoney |
Towards the adoption of Local Branch Predictors in Modern Out-of-Order Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2019, Columbus, OH, USA, October 12-16, 2019., pp. 519-530, 2019, ACM, 978-1-4503-6938-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Julien Proy, Karine Heydemann, Alexandre Berzati, Fabien Majéric, Albert Cohen 0001 |
A First ISA-Level Characterization of EM Pulse Effects on Superscalar Microarchitectures: A Secure Software Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARES ![In: Proceedings of the 14th International Conference on Availability, Reliability and Security, ARES 2019, Canterbury, UK, August 26-29, 2019., pp. 7:1-7:10, 2019, ACM, 978-1-4503-7164-3. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Douglas Maciel Cardoso, Rafael Billig Tonetto, Marcelo Brandalero, Luciano Agostini, Gabriel L. Nazar, José Rodrigo Azambuja, Antonio Carlos Schneider Beck |
Improving Software-based Techniques for Soft Error Mitigation in OoO Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019, Genoa, Italy, November 27-29, 2019, pp. 201-204, 2019, IEEE, 978-1-7281-0996-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
17 | Sam Van den Steen, Lieven Eeckhout |
Modeling Superscalar Processor Memory-Level Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 17(1), pp. 9-12, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
17 | Henry Wong, Vaughn Betz, Jonathan Rose |
High-Performance Instruction Scheduling Circuits for Superscalar Out-of-Order Soft Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Reconfigurable Technol. Syst. ![In: ACM Trans. Reconfigurable Technol. Syst. 11(1), pp. 1:1-1:22, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
17 | Bharath Srinivas Prabakaran, Mihika Dave, Semeen Rehman, Florian Kriebel, Muhammad Shafique 0001 |
Heterogeneous Reliability Modes with Efficient State Compression for Out-of-Order Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1811.07612, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
17 | Rafael Billig Tonetto, Gabriel L. Nazar, Antonio Carlos Schneider Beck |
Precise evaluation of the fault sensitivity of OoO superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018, pp. 613-616, 2018, IEEE, 978-3-9819263-0-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
17 | Renuka Patel, Sanjay Kumar |
Visualizing effect of dependency in superscalar pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAIT ![In: 2018 4th International Conference on Recent Advances in Information Technology (RAIT), Dhanbad, India, March 15-17, 2018, pp. 1-5, 2018, IEEE, 978-1-5386-3038-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
17 | Peter T. Breuer, Jonathan P. Bowen, Esther Palomar, Zhiming Liu 0001 |
Superscalar Encrypted RISC: The Measure of a Secret Computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TrustCom/BigDataSE ![In: 17th IEEE International Conference On Trust, Security And Privacy In Computing And Communications / 12th IEEE International Conference On Big Data Science And Engineering, TrustCom/BigDataSE 2018, New York, NY, USA, August 1-3, 2018, pp. 1336-1341, 2018, IEEE, 978-1-5386-4388-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
17 | Miroslav N. Velev |
Survey of Techniques for Efficient Solving of Boolean Formulas from Formal Verification of Pipelined, Superscalar, and VLIW Microprocessors at a High Level of Abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAIM ![In: International Symposium on Artificial Intelligence and Mathematics, ISAIM 2018, Fort Lauderdale, Florida, USA, January 3-5, 2018., 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
17 | Alessandro Barenghi, Gerardo Pelosi |
Side-channel security of superscalar CPUs: evaluating the impact of micro-architectural features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018, pp. 120:1-120:6, 2018, ACM, 978-1-5386-4114-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
17 | Yang Zhang, Zhi Qi, Xiaoxi Wu, Wenjie Fu |
A novel evaluation method for superscalar out-of-order ARM microprocessors targeting android applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACRIM ![In: IEEE Pacific Rim Conference on Communications, Computers and Signal Processing, PACRIM 2017, Victoria, BC, Canada, August 21-23, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-0700-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
17 | Victor Anton, Cristian Ramon-Cortes, Jorge Ejarque, Rosa M. Badia |
Transparent Execution of Task-Based Parallel Applications in Docker with COMP Superscalar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 25th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2017, St. Petersburg, Russia, March 6-8, 2017, pp. 463-467, 2017, IEEE Computer Society, 978-1-5090-6058-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
17 | Sungkwan Ku, Elliott Forbes, Rangeen Basu Roy Chowdhury, Eric Rotenberg |
A case for standard-cell based RAMs in highly-ported superscalar processor structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 18th International Symposium on Quality Electronic Design, ISQED 2017, Santa Clara, CA, USA, March 14-15, 2017, pp. 131-137, 2017, IEEE, 978-1-5090-5404-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
17 | Nihar Hage, Rohini Gulve, Masahiro Fujita, Virendra Singh |
On Testing of Superscalar Processors in Functional Mode for Delay Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, VLSID 2017, Hyderabad, India, January 7-11, 2017, pp. 397-402, 2017, IEEE Computer Society, 978-1-5090-5740-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
17 | Andrea Mondelli |
Revisiting Wide Superscalar Microarchitecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2017 |
RDF |
|
17 | Marcelo Brandalero, Antonio Carlos Schneider Beck |
Potential analysis of a superscalar core employing a reconfigurable array for improving instruction-level parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Des. Autom. Embed. Syst. ![In: Des. Autom. Embed. Syst. 20(2), pp. 155-169, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
17 | Yumin Hou, Hu He 0001, Xu Yang 0003, Deyuan Guo, Xu Wang, Jiawei Fu, Keni Qiu |
FuMicro: A Fused Microarchitecture Design Integrating In-Order Superscalar and VLIW. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2016, pp. 8787919:1-8787919:12, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
17 | Peter T. Breuer, Jonathan P. Bowen |
Superscalar Encrypted RISC: A Secret Computer in Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2016, pp. 1193, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
17 | Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Eric Rotenberg |
AnyCore-1: A comprehensively adaptive 4-way superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Chips Symposium ![In: 2016 IEEE Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016, pp. 1, 2016, IEEE, 978-1-5090-6208-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
17 | Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Sungkwan Ku, Eric Rotenberg |
AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2016 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2016, Uppsala, Sweden, April 17-19, 2016, pp. 214-224, 2016, IEEE Computer Society, 978-1-5090-1953-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
17 | Mario Schölzel, Tobias Koal, Sebastian Müller 0005, Stefan Scharoba, Stephanie Roder, Heinrich Theodor Vierhaus |
A comprehensive software-based self-test and self-repair method for statically scheduled superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATS ![In: 17th Latin-American Test Symposium, LATS 2016, Foz do Iguacu, Brazil, April 6-8, 2016, pp. 33-38, 2016, IEEE, 978-1-5090-1331-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
17 | Fahimeh Yazdanpanah, Mohammad Alaei |
Design space exploration of hardware task superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 71(9), pp. 3567-3592, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
17 | Ricardo A. Velásquez 0001, Pierre Michaud, André Seznec |
BADCO: Behavioral Application-Dependent Superscalar Core Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 43(1), pp. 130-157, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
17 | Pierre Michaud, Andrea Mondelli, André Seznec |
Revisiting Clustered Microarchitecture for Future Superscalar Cores: A Case for Wide Issue Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 12(3), pp. 28:1-28:22, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
17 | Arthur Perais, André Seznec |
BeBoP: A cost effective predictor infrastructure for superscalar value prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 21st IEEE International Symposium on High Performance Computer Architecture, HPCA 2015, Burlingame, CA, USA, February 7-11, 2015, pp. 13-25, 2015, IEEE Computer Society, 978-1-4799-8930-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
17 | Görkem Asilioglu, Zhaoxiang Jin, Murat Köksal, Omkar Javeri, Soner Önder |
LaZy superscalar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015, pp. 260-271, 2015, ACM, 978-1-4503-3402-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
17 | Arthur Perais |
Increasing the performance of superscalar processors through value prediction. (La prédiction de valeurs comme moyen d'augmenter la performance des processeurs superscalaires). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
17 | Christopher Bailey 0002, Brendan Mullane |
Investigation of a Superscalar Operand Stack Using FO4 and ASIC Wire-Delay Metrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2014, pp. 493189:1-493189:13, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Yuya Kora, Kyohei Yamaguchi, Hideki Ando |
MLP-Aware Dynamic Instruction Window Resizing in Superscalar Processors for Adaptively Exploiting Available Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 97-D(12), pp. 3110-3123, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout |
Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 11(4), pp. 50:1-50:26, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Marcelo Brandalero, Antonio Carlos Schneider Beck |
Potential of Using a Reconfigurable System on a Superscalar Core for ILP Improvements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBESC ![In: 2014 Brazilian Symposium on Computing Systems Engineering, SBESC 2014, Manaus, Amazonas, Brazil, November 3-7, 2014, pp. 43-48, 2014, IEEE Computer Society, 978-1-4799-8559-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Xue Yang, Lixin Yu, Yunkai Feng |
Design of SPARC V8 superscalar pipeline applied Tomasulo's algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDIP ![In: Sixth International Conference on Digital Image Processing, ICDIP 2014, Athens, Greece, April 5-6, 2014, pp. 915910, 2014, SPIE, 978-1-6284-1186-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Jianqing Xiao, Pengwei Lv, Mian Lou, Xunying Zhang, Xubang Shen |
A task-level superscalar microarchitecture for large scale chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014, pp. 1-8, 2014, IEEE Computer Society, 978-1-4799-3953-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Wei Zhang 0044, Hang Zhang 0031, John C. Lach |
Adaptive front-end throttling for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014, pp. 21-26, 2014, ACM, 978-1-4503-2975-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus |
Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014, pp. 27-32, 2014, IEEE Computer Society, 978-1-4799-6155-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Blake Haugen, Jakub Kurzak, Asim YarKhan, Piotr Luszczek, Jack J. Dongarra |
Parallel Simulation of Superscalar Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 43rd International Conference on Parallel Processing, ICPP 2014, Minneapolis, MN, USA, September 9-12, 2014, pp. 121-130, 2014, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Yuuki Shibata, Takanori Tsumura, Tomoaki Tsumura, Yasuhiko Nakashima |
An implementation of Auto-Memoization mechanism on ARM-based superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSoC ![In: 2014 International Symposium on System-on-Chip, SoC 2014, Tampere, Finland, October 28-29, 2014, pp. 1-8, 2014, IEEE, 978-1-4799-6890-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Fahimeh Yazdanpanah |
Hardware design of task superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
17 | Davor Capalija, Tarek S. Abdelrahman |
Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 24(2), pp. 392-405, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Kiyeon Lee, Sangyeun Cho |
Accurately modeling superscalar processor performance with reduced trace. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 73(4), pp. 509-521, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Krzysztof Marcinek, Witold A. Pleskacz |
ELEON3LP - Superscalar and low-power enhancements of single issue general purpose processor model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 37(6-7), pp. 693-700, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Guo-An Jian, Cheng-An Chien, Peng-Sheng Chen, Jiun-In Guo |
Real-Time 3D Depth Generation for Stereoscopic Video Applications with Thread-Level Superscalar-Pipeline Parallelization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 72(1), pp. 17-33, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Stefano Di Carlo, Ernesto Sánchez 0001, Matteo Sonza Reorda |
On the on-line functional test of the Reorder Buffer memory in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2013, Karlovy Vary, Czech Republic, April 8-10, 2013, pp. 36-41, 2013, IEEE Computer Society, 978-1-4673-6135-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Sudarshan Srinivasan, Rance Rodrigues, Arunachalam Annamalai, Israel Koren, Sandip Kundu |
A study on polymorphing superscalar processor dynamically to improve power efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2013, Natal, Brazil, August 5-7, 2013, pp. 46-51, 2013, IEEE Computer Socity, 978-1-4799-1331-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Xue Yang, Lixin Yu, Wei Zhuang, Yingpan Wu, Li Hao |
Design of instruction decode logic for dual-issue superscalar processor based on LEON2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCE-Berlin ![In: IEEE Third International Conference on Consumer Electronics, ICCE-Berlin 2013, IFA Fairground, Berlin, Germany, September 9-11, 2013, pp. 1-4, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Fahimeh Yazdanpanah, Daniel Jiménez-González, Carlos Álvarez-Martínez, Yoav Etsion, Rosa M. Badia |
Analysis of the Task Superscalar Architecture Hardware Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Conceptual Structures for STEM Research and Education, 20th International Conference on Conceptual Structures, ICCS 2013, Mumbai, India, January 10-12, 2013. Proceedings, pp. 339-348, 2013, Springer, 978-3-642-35785-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Sudarshan Srinivasan, Rance Rodrigues, Arunachalam Annamalai, Israel Koren, Sandip Kundu |
On dynamic polymorphing of a superscalar core for improving energy efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 2013 IEEE 31st International Conference on Computer Design, ICCD 2013, Asheville, NC, USA, October 6-9, 2013, pp. 495-498, 2013, IEEE Computer Society, 978-1-4799-2987-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Kosaku Fukuda, Lin Meng, Takeshi Kumaki, Takeshi Ogura |
A CAM-Based Separated BTB for a Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANDAR ![In: The First International Symposium on Computing and Networking - Across Practical Development and Theoretical Research, Dogo SPA Resort, Matsuyama, Japan, December 4-6, 2013., pp. 385-388, 2013, IEEE Computer Society, 978-1-4799-2795-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Tomoyuki Nakabayashi, Takahiro Sasaki, Toshio Kondo |
Dynamic BTB Resizing for Variable Stages Superscalar Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANDAR ![In: The First International Symposium on Computing and Networking - Across Practical Development and Theoretical Research, Dogo SPA Resort, Matsuyama, Japan, December 4-6, 2013., pp. 352-358, 2013, IEEE Computer Society, 978-1-4799-2795-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | D. Changdao, Mariagrazia Graziano, Ernesto Sánchez 0001, Matteo Sonza Reorda, Maurizio Zamboni, N. Zhifan |
On the functional test of the BTB logic in pipelined and superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATW ![In: 14th Latin American Test Workshop, LATW 2013, Cordoba, Argentina, 3-5 April, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4799-0595-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Simone Corbetta, William Fornaciari |
Performance/reliability trade-off in superscalar processors for aggressive NBTI restoration of functional units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Great Lakes Symposium on VLSI 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013, pp. 221-226, 2013, ACM, 978-1-4503-2032-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Ricardo Andrés Velásquez Vélez |
Behavioral Application-dependent Superscalar Core Modeling (Modélisation comportementale dépend de l'application pour cœurs superscalairesBehavioral Application-dependent superscolor core modeling). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
17 | Niket Kumar Choudhary, Salil V. Wadhavkar, Tanmay A. Shah, Hiran Mayukh, Jayneel Gandhi, Brandon H. Dwiel, Sandeep Navada, Hashem Hashemi Najaf-abadi, Eric Rotenberg |
FabScalar: Automating Superscalar Core Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 32(3), pp. 48-59, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Kyohei Yamaguchi, Yuya Kora, Hideki Ando |
Delay Evaluation of Issue Queue in Superscalar Processors with Banking Tag RAM and Correct Critical Path Identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 95-D(9), pp. 2235-2246, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Arpad Gellert, Horia Calborean, Lucian Vintan, Adrian Florea |
Multi-objective optimisations for a superscalar architecture with selective value prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 6(4), pp. 205-213, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Mehdi Alipour, Mostafa E. Salehi |
Performance-Optimum Superscalar Architecture for Embedded Applications ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1204.2809, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
17 | Michael Andersch, Chi Ching Chi, Ben H. H. Juurlink |
Using OpenMP superscalar for parallelization of embedded and consumer applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS XII, Samos, Greece, July 16-19, 2012, pp. 23-32, 2012, IEEE, 978-1-4673-2295-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Ricardo A. Velásquez 0001, Pierre Michaud, André Seznec |
BADCO: Behavioral Application-Dependent Superscalar Core model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS XII, Samos, Greece, July 16-19, 2012, pp. 58-67, 2012, IEEE, 978-1-4673-2295-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Jason Van Dyken, José G. Delgado-Frias |
A superscalar processor for a medium-grain reconfigurable hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: 55th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2012, Boise, ID, USA, August 5-8, 2012, pp. 426-429, 2012, IEEE, 978-1-4673-2526-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Niket K. Choudhary, Brandon H. Dwiel, Eric Rotenberg |
A physical design study of fabscalar-generated superscalar cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012, pp. 165-170, 2012, IEEE, 978-1-4673-2657-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Mathieu Rosiere, Jean Lou Desbarbieux, Nathalie Drach, Franck Wajsbürt |
An out-of-order superscalar processor on FPGA: The ReOrder Buffer design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012, pp. 1549-1554, 2012, IEEE, 978-1-4577-2145-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Ying Zhang 0040, Ahmed Rezine, Petru Eles, Zebo Peng |
Automatic Test Program Generation for Out-of-Order Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 338-343, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Brandon H. Dwiel, Niket Kumar Choudhary, Eric Rotenberg |
FPGA modeling of diverse superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2012 IEEE International Symposium on Performance Analysis of Systems & Software, New Brunswick, NJ, USA, April 1-3, 2012, pp. 188-199, 2012, IEEE Computer Society, 978-1-4673-1143-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout |
A mechanistic performance model for superscalar in-order processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2012 IEEE International Symposium on Performance Analysis of Systems & Software, New Brunswick, NJ, USA, April 1-3, 2012, pp. 14-24, 2012, IEEE Computer Society, 978-1-4673-1143-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | S. K. Fairooz, B. K. Madhavi |
SoC Modeling for Video Coding with Superscalar Projection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACITY (2) ![In: Advances in Computing and Information Technology - Proceedings of the Second International Conference on Advances in Computing and Information Technology (ACITY) July 13-15, 2012, Chennai, India - Volume 2, pp. 787-795, 2012, Springer, 978-3-642-31551-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|