The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Joshua Redstone, Susan J. Eggers, Henry M. Levy An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Ramon Canal, Joan-Manuel Parcerisa, Antonio González 0001 A Cost-Effective Clustered Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF code partitioning, dynamic steering, inter-cluster communication, cluster, microarchitecture, clustered architecture, workload balance
17Heiko Oehring, Ulrich Sigmund, Theo Ungerer MPEG-2 Video Decompression on Simultaneous Multithreaded Multimedia Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF MPEG-2 video decompression, Simultaneous multithreading, multimedia extension
17Ryotaro Kobayashi, Yukihiro Ogawa, Hideki Ando, Toshio Shimada, Mitsuaki Iwata An On-Chip Multiprocessor Architecture with a Non-Blocking Synchronization Mechanism. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Freddy Gabbay, Avi Mendelson Using Value Prediction to Increase the Power of Speculative Execution Hardware. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF stride value prediction, speculative execution, value prediction
17Matthias Menge Superskalare Prozessoren. Search on Bibsonomy Inform. Spektrum The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scoreboarding, Reservierungseinheit, Competion-Unit, Retirement-Unit, History-Buffer, Reorder-Buffer
17Pedro Marcuello, Antonio González 0001 Data Speculative Multithreaded Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Kimberly Keeton, David A. Patterson 0001, Yong Qiang He, Roger C. Raphael, Walter E. Baker Performance Characterization of a Quad Pentium Pro SMP using OLTP Workloads. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Kenneth M. Wilson, Kunle Olukotun Designing High Bandwidth On-Chip Caches. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, Kenneth G. Wilson, Kunyung Chang The Case for a Single-Chip Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Karel Driesen, Urs Hölzle, Jan Vitek Message Dispatch on Pipelined Processors. Search on Bibsonomy ECOOP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF message dispatch, performance, implementation, computer architecture
17Thomas M. Conte, Kishore N. Menezes, Patrick M. Mills, Burzin A. Patel Optimization of Instruction Fetch Mechanisms for High Issue Rates. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Zhi-Guo Yu, Xiao-Yu Zhong, Xiao-Jie Ma, Xiaofeng Gu W-IQ: Wither-logic based issue queue for RISC-V superscalar out-of-order processor. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Taichi Amano, Junichiro Kadomoto, Satoshi Mitsuno, Toru Koizumi 0001, Ryota Shioya, Hidetsugu Irie, Shuichi Sakai An Out-of-Order Superscalar Processor Using STRAIGHT Architecture in 28 nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Benjamin Binder 0001, Mihail Asavoae, Florian Brandner, Belgacem Ben Hedia, Mathieu Jan Formal modeling and verification for amplification timing anomalies in the superscalar TriCore architecture. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Nicolas Derumigny, Théophile Bastian, Fabian Gruber, Guillaume Iooss, Christophe Guillon, Louis-Noël Pouchet, Fabrice Rastello PALMED: Throughput Characterization for Superscalar Architectures. Search on Bibsonomy CGO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Bingcai Sui, Caixia Sun, Yongwen Wang, Hui Guo Design and optimization of Issue queue in Out-of-Order superscalar microprocessor. Search on Bibsonomy CACML The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Alexander Antonov Superscalar Out-of-Order RISC-V ASIP Based on Programmable Hardware Generator with Decoupled Computations and Flow Control. Search on Bibsonomy MECO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Barbara Gigerl, Robert Primas, Stefan Mangard Secure and Efficient Software Masking on Superscalar Pipelined Processors. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
17Barbara Gigerl, Robert Primas, Stefan Mangard Secure and Efficient Software Masking on Superscalar Pipelined Processors. Search on Bibsonomy ASIACRYPT (2) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Rosa M. Badia Superscalar Programming Models: A Perspective from Barcelona. Search on Bibsonomy HPDC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Timothée Ewart, Francesco Cremonesi, Felix Schürmann, Fabien Delalondre Polynomial Evaluation on Superscalar Architecture, Applied to the Elementary Function ex. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Ying Zhang 0040, Krishnendu Chakrabarty, Zebo Peng, Ahmed Rezine, Huawei Li 0001, Petru Eles, Jianhui Jiang Software-Based Self-Testing Using Bounded Model Checking for Out-of-Order Superscalar Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17T. Gokulan, Akshay Muraleedharan, Kuruvilla Varghese Design of a 32-bit, dual pipeline superscalar RISC-V processor on FPGA. Search on Bibsonomy DSD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Dejan Spasov An Improvement in the Convergence of Superscalar Processors. Search on Bibsonomy MIPRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Benjamin Binder 0001, Mihail Asavoae, Florian Brandner, Belgacem Ben Hedia, Mathieu Jan Scalable Detection of Amplification Timing Anomalies for the Superscalar TriCore Architecture. Search on Bibsonomy FMICS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Kleovoulos Kalaitzidis Advanced Speculation to Increase the Performance of Superscalar Processors. (Spéculation Avancée pour Augmenter Les Performances des Processeurs Superscalaires). Search on Bibsonomy 2020   RDF
17Bharath Srinivas Prabakaran, Mihika Dave, Florian Kriebel, Semeen Rehman, Muhammad Shafique 0001 Architectural-Space Exploration of Heterogeneous Reliability and Checkpointing Modes for Out-of-Order Superscalar Processors. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Yumin Hou, Xu Wang, Jiawei Fu, Junping Ma, Hu He 0001, Xu Yang 0003 Improving ILP via Fused In-Order Superscalar and VLIW Instruction Dispatch Methods. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Julien Proy, Karine Heydemann, Fabien Majéric, Albert Cohen 0001, Alexandre Berzati Studying EM Pulse Effects on Superscalar Microarchitectures at ISA Level. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17Mutaz A. B. Al-Tarawneh Analysis of the Factors Influencing Architectural Time-Predictability of Superscalar Processors. Search on Bibsonomy J. Comput. Sci. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Rafael Billig Tonetto, Douglas Maciel Cardoso, Marcelo Brandalero, Luciano Agostini, Gabriel L. Nazar, José Rodrigo Azambuja, Antonio Carlos Schneider Beck A Knapsack Methodology for Hardware-based DMR Protection against Soft Errors in Superscalar Out-of-Order Processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Niranjan Soundararajan, Saurabh Gupta, Ragavendra Natarajan, Jared Stark, Rahul Pal, Franck Sala, Lihu Rappoport, Adi Yoaz, Sreenivas Subramoney Towards the adoption of Local Branch Predictors in Modern Out-of-Order Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Julien Proy, Karine Heydemann, Alexandre Berzati, Fabien Majéric, Albert Cohen 0001 A First ISA-Level Characterization of EM Pulse Effects on Superscalar Microarchitectures: A Secure Software Perspective. Search on Bibsonomy ARES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Douglas Maciel Cardoso, Rafael Billig Tonetto, Marcelo Brandalero, Luciano Agostini, Gabriel L. Nazar, José Rodrigo Azambuja, Antonio Carlos Schneider Beck Improving Software-based Techniques for Soft Error Mitigation in OoO Superscalar Processors. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Sam Van den Steen, Lieven Eeckhout Modeling Superscalar Processor Memory-Level Parallelism. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Henry Wong, Vaughn Betz, Jonathan Rose High-Performance Instruction Scheduling Circuits for Superscalar Out-of-Order Soft Processors. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Bharath Srinivas Prabakaran, Mihika Dave, Semeen Rehman, Florian Kriebel, Muhammad Shafique 0001 Heterogeneous Reliability Modes with Efficient State Compression for Out-of-Order Superscalar Processors. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Rafael Billig Tonetto, Gabriel L. Nazar, Antonio Carlos Schneider Beck Precise evaluation of the fault sensitivity of OoO superscalar processors. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Renuka Patel, Sanjay Kumar Visualizing effect of dependency in superscalar pipelining. Search on Bibsonomy RAIT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Peter T. Breuer, Jonathan P. Bowen, Esther Palomar, Zhiming Liu 0001 Superscalar Encrypted RISC: The Measure of a Secret Computer. Search on Bibsonomy TrustCom/BigDataSE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Miroslav N. Velev Survey of Techniques for Efficient Solving of Boolean Formulas from Formal Verification of Pipelined, Superscalar, and VLIW Microprocessors at a High Level of Abstraction. Search on Bibsonomy ISAIM The full citation details ... 2018 DBLP  BibTeX  RDF
17Alessandro Barenghi, Gerardo Pelosi Side-channel security of superscalar CPUs: evaluating the impact of micro-architectural features. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yang Zhang, Zhi Qi, Xiaoxi Wu, Wenjie Fu A novel evaluation method for superscalar out-of-order ARM microprocessors targeting android applications. Search on Bibsonomy PACRIM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Victor Anton, Cristian Ramon-Cortes, Jorge Ejarque, Rosa M. Badia Transparent Execution of Task-Based Parallel Applications in Docker with COMP Superscalar. Search on Bibsonomy PDP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Sungkwan Ku, Elliott Forbes, Rangeen Basu Roy Chowdhury, Eric Rotenberg A case for standard-cell based RAMs in highly-ported superscalar processor structures. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Nihar Hage, Rohini Gulve, Masahiro Fujita, Virendra Singh On Testing of Superscalar Processors in Functional Mode for Delay Faults. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Andrea Mondelli Revisiting Wide Superscalar Microarchitecture. Search on Bibsonomy 2017   RDF
17Marcelo Brandalero, Antonio Carlos Schneider Beck Potential analysis of a superscalar core employing a reconfigurable array for improving instruction-level parallelism. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yumin Hou, Hu He 0001, Xu Yang 0003, Deyuan Guo, Xu Wang, Jiawei Fu, Keni Qiu FuMicro: A Fused Microarchitecture Design Integrating In-Order Superscalar and VLIW. Search on Bibsonomy VLSI Design The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Peter T. Breuer, Jonathan P. Bowen Superscalar Encrypted RISC: A Secret Computer in Simulation. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2016 DBLP  BibTeX  RDF
17Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Eric Rotenberg AnyCore-1: A comprehensively adaptive 4-way superscalar processor. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Sungkwan Ku, Eric Rotenberg AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mario Schölzel, Tobias Koal, Sebastian Müller 0005, Stefan Scharoba, Stephanie Roder, Heinrich Theodor Vierhaus A comprehensive software-based self-test and self-repair method for statically scheduled superscalar processors. Search on Bibsonomy LATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Fahimeh Yazdanpanah, Mohammad Alaei Design space exploration of hardware task superscalar architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ricardo A. Velásquez 0001, Pierre Michaud, André Seznec BADCO: Behavioral Application-Dependent Superscalar Core Models. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Pierre Michaud, Andrea Mondelli, André Seznec Revisiting Clustered Microarchitecture for Future Superscalar Cores: A Case for Wide Issue Clusters. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Arthur Perais, André Seznec BeBoP: A cost effective predictor infrastructure for superscalar value prediction. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Görkem Asilioglu, Zhaoxiang Jin, Murat Köksal, Omkar Javeri, Soner Önder LaZy superscalar. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Arthur Perais Increasing the performance of superscalar processors through value prediction. (La prédiction de valeurs comme moyen d'augmenter la performance des processeurs superscalaires). Search on Bibsonomy 2015   RDF
17Christopher Bailey 0002, Brendan Mullane Investigation of a Superscalar Operand Stack Using FO4 and ASIC Wire-Delay Metrics. Search on Bibsonomy VLSI Design The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Yuya Kora, Kyohei Yamaguchi, Hideki Ando MLP-Aware Dynamic Instruction Window Resizing in Superscalar Processors for Adaptively Exploiting Available Parallelism. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Marcelo Brandalero, Antonio Carlos Schneider Beck Potential of Using a Reconfigurable System on a Superscalar Core for ILP Improvements. Search on Bibsonomy SBESC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Xue Yang, Lixin Yu, Yunkai Feng Design of SPARC V8 superscalar pipeline applied Tomasulo's algorithm. Search on Bibsonomy ICDIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jianqing Xiao, Pengwei Lv, Mian Lou, Xunying Zhang, Xubang Shen A task-level superscalar microarchitecture for large scale chip multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Wei Zhang 0044, Hang Zhang 0031, John C. Lach Adaptive front-end throttling for superscalar processors. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Blake Haugen, Jakub Kurzak, Asim YarKhan, Piotr Luszczek, Jack J. Dongarra Parallel Simulation of Superscalar Scheduling. Search on Bibsonomy ICPP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Yuuki Shibata, Takanori Tsumura, Tomoaki Tsumura, Yasuhiko Nakashima An implementation of Auto-Memoization mechanism on ARM-based superscalar processor. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Fahimeh Yazdanpanah Hardware design of task superscalar architecture. Search on Bibsonomy 2014   RDF
17Davor Capalija, Tarek S. Abdelrahman Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kiyeon Lee, Sangyeun Cho Accurately modeling superscalar processor performance with reduced trace. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Krzysztof Marcinek, Witold A. Pleskacz ELEON3LP - Superscalar and low-power enhancements of single issue general purpose processor model. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Guo-An Jian, Cheng-An Chien, Peng-Sheng Chen, Jiun-In Guo Real-Time 3D Depth Generation for Stereoscopic Video Applications with Thread-Level Superscalar-Pipeline Parallelization. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Stefano Di Carlo, Ernesto Sánchez 0001, Matteo Sonza Reorda On the on-line functional test of the Reorder Buffer memory in superscalar processors. Search on Bibsonomy DDECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sudarshan Srinivasan, Rance Rodrigues, Arunachalam Annamalai, Israel Koren, Sandip Kundu A study on polymorphing superscalar processor dynamically to improve power efficiency. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Xue Yang, Lixin Yu, Wei Zhuang, Yingpan Wu, Li Hao Design of instruction decode logic for dual-issue superscalar processor based on LEON2. Search on Bibsonomy ICCE-Berlin The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Fahimeh Yazdanpanah, Daniel Jiménez-González, Carlos Álvarez-Martínez, Yoav Etsion, Rosa M. Badia Analysis of the Task Superscalar Architecture Hardware Design. Search on Bibsonomy ICCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sudarshan Srinivasan, Rance Rodrigues, Arunachalam Annamalai, Israel Koren, Sandip Kundu On dynamic polymorphing of a superscalar core for improving energy efficiency. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kosaku Fukuda, Lin Meng, Takeshi Kumaki, Takeshi Ogura A CAM-Based Separated BTB for a Superscalar Processor. Search on Bibsonomy CANDAR The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Tomoyuki Nakabayashi, Takahiro Sasaki, Toshio Kondo Dynamic BTB Resizing for Variable Stages Superscalar Architecture. Search on Bibsonomy CANDAR The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17D. Changdao, Mariagrazia Graziano, Ernesto Sánchez 0001, Matteo Sonza Reorda, Maurizio Zamboni, N. Zhifan On the functional test of the BTB logic in pipelined and superscalar processors. Search on Bibsonomy LATW The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Simone Corbetta, William Fornaciari Performance/reliability trade-off in superscalar processors for aggressive NBTI restoration of functional units. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ricardo Andrés Velásquez Vélez Behavioral Application-dependent Superscalar Core Modeling (Modélisation comportementale dépend de l'application pour cœurs superscalairesBehavioral Application-dependent superscolor core modeling). Search on Bibsonomy 2013   RDF
17Niket Kumar Choudhary, Salil V. Wadhavkar, Tanmay A. Shah, Hiran Mayukh, Jayneel Gandhi, Brandon H. Dwiel, Sandeep Navada, Hashem Hashemi Najaf-abadi, Eric Rotenberg FabScalar: Automating Superscalar Core Design. Search on Bibsonomy IEEE Micro The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Kyohei Yamaguchi, Yuya Kora, Hideki Ando Delay Evaluation of Issue Queue in Superscalar Processors with Banking Tag RAM and Correct Critical Path Identification. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Arpad Gellert, Horia Calborean, Lucian Vintan, Adrian Florea Multi-objective optimisations for a superscalar architecture with selective value prediction. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mehdi Alipour, Mostafa E. Salehi Performance-Optimum Superscalar Architecture for Embedded Applications Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
17Michael Andersch, Chi Ching Chi, Ben H. H. Juurlink Using OpenMP superscalar for parallelization of embedded and consumer applications. Search on Bibsonomy ICSAMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ricardo A. Velásquez 0001, Pierre Michaud, André Seznec BADCO: Behavioral Application-Dependent Superscalar Core model. Search on Bibsonomy ICSAMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jason Van Dyken, José G. Delgado-Frias A superscalar processor for a medium-grain reconfigurable hardware. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Niket K. Choudhary, Brandon H. Dwiel, Eric Rotenberg A physical design study of fabscalar-generated superscalar cores. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mathieu Rosiere, Jean Lou Desbarbieux, Nathalie Drach, Franck Wajsbürt An out-of-order superscalar processor on FPGA: The ReOrder Buffer design. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ying Zhang 0040, Ahmed Rezine, Petru Eles, Zebo Peng Automatic Test Program Generation for Out-of-Order Superscalar Processors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Brandon H. Dwiel, Niket Kumar Choudhary, Eric Rotenberg FPGA modeling of diverse superscalar processors. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout A mechanistic performance model for superscalar in-order processors. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17S. K. Fairooz, B. K. Madhavi SoC Modeling for Video Coding with Superscalar Projection. Search on Bibsonomy ACITY (2) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license