The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiring with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1973 (15) 1974-1978 (16) 1979-1981 (15) 1982-1985 (16) 1986-1987 (15) 1988-1989 (27) 1990 (17) 1991-1992 (24) 1993-1994 (27) 1995 (23) 1996 (20) 1997 (15) 1998 (57) 1999 (34) 2000 (41) 2001 (30) 2002 (41) 2003 (41) 2004 (43) 2005 (37) 2006 (63) 2007 (55) 2008 (40) 2009 (24) 2010 (16) 2011-2012 (20) 2013-2014 (30) 2015-2016 (25) 2017-2018 (24) 2019-2020 (23) 2021 (20) 2022 (20) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(323) incollection(7) inproceedings(603) phdthesis(4) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 553 occurrences of 391 keywords

Results
Found 938 publication records. Showing 938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Sabrina Rathgeber, R. Bauer, Andreas Otto, Erik Peter, Jürgen Wilde Harsh environment application of electronics - Reliability of copper wiring and testability thereof. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Wojciech Steplewski, Tomasz Serzysko, Grazyna Koziol, Andrzej Dziedzic Preliminary assessment of the stability of thin- and polymer thick-film resistors embedded into printed wiring boards. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yihwa Kim, Robert Sinclair, Nol Chindapol, Jaap A. Kaandorp, Erik De Schutter Geometric Theory Predicts Bifurcations in Minimal Wiring Cost Trees in Biology Are Flat. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Christian Ernstbrunner, Josef Pichler Aesthetic Layout of Wiring Diagrams. Search on Bibsonomy Diagrams The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Maria Konte, Nick Feamster Re-wiring Activity of Malicious Networks. Search on Bibsonomy PAM The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mohammad Wazid, Roshan Singh Sachan, R. H. Goudar Performance of a LAN under different ethernet wiring standards. Search on Bibsonomy CUBE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yaoguang Wei, Cliff C. N. Sze, Natarajan Viswanathan, Zhuo Li 0001, Charles J. Alpert, Lakshmi N. Reddy, Andrew D. Huber, Gustavo E. Téllez, Douglas Keller, Sachin S. Sapatnekar GLARE: global and local wiring aware routability evaluation. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Patrick Kierkegaard Electronic health record: Wiring Europe's healthcare. Search on Bibsonomy Comput. Law Secur. Rev. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Han-Chin Liu, I-Hsien Su Learning residential electrical wiring through computer simulation: The impact of computer-based learning environments on student achievement and cognitive load. Search on Bibsonomy Br. J. Educ. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Leon French, Paul Pavlidis Relationships between Gene Expression and Brain Wiring in the Adult Rodent Brain. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Amelio Vázquez Reina, Won-Ki Jeong, Jeff Lichtman, Hanspeter Pfister The connectome project: discovering the wiring of the brain. Search on Bibsonomy XRDS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Miguel Elias M. Campista, Luís Henrique Maciel Kosmalski Costa, Otto Carlos Muniz Bandeira Duarte Improving the multiple access method of home networks over the electrical wiring. Search on Bibsonomy Ann. des Télécommunications The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Allan Emleh, Hendrik C. Ferreira, A. J. Han Vinck, A. J. Snyders Received noise on powerline communications where the in-building wiring acts as an antenna. Search on Bibsonomy AFRICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Daniel Schinke, Wallace Shep Pitts, Neil Di Spigna, Paul D. Franzon Low power interconnect design for fpgas with bidirectional wiring using nanocrystal floating gate devices (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Giuseppe Pasetti, Nico Costantino, Francesco Tinfena, Riccardo Serventi, Paolo D'Abramo, Sergio Saponara, Luca Fanucci Characterization of an Intelligent Power Switch for LED driving with control of wiring parasitics effects. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Matthias Herbert, Tobias Thieme, Jan Zibuschka, Heiko Roßnagel Secure Mashup-Providing Platforms - Implementing Encrypted Wiring. Search on Bibsonomy ICWE Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Timothy Tibbals, David Dolezilek Case study: Confidence in Ethernet IEC 61850 virtual wiring via innovative new testing and verification practices. Search on Bibsonomy ISGT Europe The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jin Luo, Xiao-Fang Zu, Guo Chen 0004 Webgis-Based Telecommunication Resource Management Auxiliary Wiring System. Search on Bibsonomy J. Geogr. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jian Huang 0001, Pei Di, Toshio Fukuda, Takayuki Matsuno Robust Model-Based Online Fault Detection for Mating Process of Electric Connectors in Robotic Wiring Harness Assembly Systems. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Li Li, Yuchun Ma, Ning Xu 0006, Yu Wang 0002, Xianlong Hong PS-FPG: pattern selection based co-design of floorplan and power/ground network with wiring resource optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Albert Zündorf, Leif Geiger, Ralf Gemmerich, Ruben Jubeh, Jürgen Leohold, Dieter Müller, Carsten Reckord, Christian Schneider 0001, Sven Semmelrodt Using Graph Grammars for Modeling Wiring Harnesses - An Experience Report. Search on Bibsonomy Graph Transformations and Model-Driven Engineering The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Yu-Ming Yang, Iris Hui-Ru Jiang Analog placement and global routing considering wiring symmetry. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jakov Vico, Terrence Smith, Richard Hunt Fully Utilizing the Intelligent Electronic Device Capability to Reduce Wiring in Industrial Electric Distribution Substations. Search on Bibsonomy IAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Nicholas J. Hudson 0001, Antonio Reverter, Brian P. Dalrymple A Differential Wiring Analysis of Expression Data Correctly Identifies the Gene Containing the Causal Mutation. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jeff W. Lichtman, R. Clay Reid, Hanspeter Pfister, Michael F. Cohen Discovering the wiring diagram of the brain. Search on Bibsonomy The Fourth Paradigm The full citation details ... 2009 DBLP  BibTeX  RDF
17Kalyana C. Bollapalli, Rajesh Garg, Kanupriya Gulati, Sunil P. Khatri On-chip bidirectional wiring for heavily pipelined systems using network coding. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yashodhar Narvaneni A Low Cost Home Automation System Design for Conventional Domestic Wiring Houses. Search on Bibsonomy ESA The full citation details ... 2009 DBLP  BibTeX  RDF
17Massimo Mitolo, Michele Tartaglia, Sergio Panetta Of International Terminology and Wiring Methods Used in the Matter of Bonding and Earthing of Low-Voltage Power Systems. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ali Enteshari, Mohsen Kavehrad, Jarir M. Fadlullah Signal construction for high-speed access over copper wiring. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Thomas A. Mazzuchi, William G. Linzey, Armin Bruning A paired comparison experiment for gathering expert judgment for an aircraft wiring risk assessment. Search on Bibsonomy Reliab. Eng. Syst. Saf. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17T. M. Murali 0001, Corban G. Rivera Network Legos: Building Blocks of Cellular Wiring Diagrams. Search on Bibsonomy J. Comput. Biol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Conrad Attard, Andreas Alexander Albrecht The impact of axon wiring costs on small neuronal networks. Search on Bibsonomy ESANN The full citation details ... 2008 DBLP  BibTeX  RDF
17Charbel J. Akl, Magdy A. Bayoumi Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Noriaki Oda, Hironori Imura, Naoyoshi Kawahara, Masayoshi Tagami, Hiroyuki Kunishima, Shuji Sone, Sadayuki Ohnishi, Kenta Yamada, Yumi Kakuhara, Makoto Sekine, Yoshihiro Hayashi, Kazuyoshi Ueno Chip-Level Performance Maximization Using ASIS (Application-Specific Interconnect Structure) Wiring Design Concept for 45 nm CMOS Generation. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17T. M. Murali 0001, Corban G. Rivera Network Legos: Building Blocks of Cellular Wiring Diagrams. Search on Bibsonomy RECOMB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Dustin Connor, Murray Shanahan A Simulated Global Neuronal Workspace with Stochastic Wiring. Search on Bibsonomy AAAI Fall Symposium: AI and Consciousness The full citation details ... 2007 DBLP  BibTeX  RDF
17Jin-Tai Yan, Bo-Yi Chiang Timing-Constrained Yield-Driven Wiring Reconstruction for Critical Area Minimization. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17William Buller, Brian Wilson Measurement and Modeling Mutual Capacitance of Electrical Wiring and Humans. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Noriaki Oda, Hiroyuki Kunishima, Takashi Kyouno, Kazuhiro Takeda, Tomoaki Tanaka, Toshiyuki Takewaki, Masahiro Ikeda Chip-Level Performance Improvement Using Triple Damascene Wiring Design Concept for the 0.13 µm CMOS Generation and Beyond. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shin Iwabuchi, Yasushi Maruyama, Yuko Ohgishi, Masafumi Muramatsu, Nobuhiro Karasawa, Teruo Hirayama A Back-Illuminated High-Sensitivity Small-Pixel Color CMOS Image Sensor with Flexible Layout of Metal Wiring. Search on Bibsonomy ISSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yoshihisa Iwata, Kenji Tsuchida, Tsuneo Inaba, Yui Shimizu, R. Takizawa, Yoshihiro Ueda, Tadahiko Sugibayashi, Yoshiaki Asao, Takeshi Kajiyama, Keiji Hosotani, Sumio Ikegawa, Tadashi Kai, M. Nakayama, Shuichi Tahara, Hiroaki Yoda A 16Mb MRAM with FORK Wiring Scheme and Burst Modes. Search on Bibsonomy ISSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Radivoje Zarubica, Stephen G. Wilson A Wiring-Efficient, High-Throughput Low Density Parity Check Decoder Design. Search on Bibsonomy CISS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sang-Bum Suh An effective multiple access policy for home telephone wiring in a home LAN. Search on Bibsonomy 2006   RDF
17Johnson A. Asumadu, Ralph Tanner, Jon Fitzmaurice, Michael Kelly, Hakeem Ogunleye, Jake Belter, Song Chin Koh A Web-based electrical and electronics remote wiring and measurement laboratory (RwmLAB) instrument. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Yield-Optimal Layout Synthesis of CMOS Logic Cells by Wiring Fault Minimization. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yoshiteru Abe, Masaru Kobayashi, Mamoru Hirayama, Ryo Nagase Scalable Optical Fiber Wiring System for over 10, 000-Fiber Shuffler. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ranjana Sahai, Erik Steltz, Ronald S. Fearing Carbon Fiber Components with Integrated Wiring for Millirobot Prototyping. Search on Bibsonomy ICRA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Miguel Elias M. Campista, Luís Henrique Maciel Kosmalski Costa, Otto Carlos Muniz Bandeira Duarte Improving the Data Transmission Throughput over the Home Electrical Wiring. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF HomePlug, medium access control, collision avoidance, home networks
17John Lawrence The Versatility of Rejewski's Method: Solving for the Wiring of the Second Rotor. Search on Bibsonomy Cryptologia The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Andy Borchers Wiring Watkins University: Does IT Really Matter? Search on Bibsonomy J. Electron. Commer. Organ. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Markus P. K. Turunen, Pekka Marjamäki, Matti Paajanen, Jouko Lahtinen, Jorma K. Kivilahti Pull-off test in the assessment of adhesion at printed wiring board metallisation/epoxy interface. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nathan W. Brixius, Kurt M. Anstreicher The Steinberg Wiring Problem. Search on Bibsonomy The Sharpest Cut The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kanak Agarwal, Dennis Sylvester, David T. Blaauw A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shinichiro Gomi, Kohichi Nakamura, Hiroyuki Ito, Kenichi Okada, Kazuya Masu Differential transmission line interconnect for high speed and low power global wiring. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration technology for field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita An Incremental Wiring Algorithm for VLSI Layout Design. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2003 DBLP  BibTeX  RDF
17Toshihiko Wakahara, Kunio Sono, Yuichi Nakatsuji, Takao Shimizu, Mitsuji Matsumoto A study of the wiring systems used in the building of a high-speed wireless LAN. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17N. Magnus Hjelm, Joseph C. K. Lee, D. Cheng, C. Chui Erratum to "Wiring a medical school and teaching hospital for telemedicine": [International Journal of Medical Informatics 61 (2000) 235-240]. Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17N. Magnus Hjelm, Joseph C. K. Lee, D. Cheng, C. Chui Wiring a medical school and teaching hospital for telemedicine. Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jan Karbowski Optimal wiring in the cortex and neuronal degree of separation. Search on Bibsonomy Neurocomputing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17María Ángeles Garrido 0001, Alberto Márquez 0001, Aurora Morgana, José Ramón Portillo Single bend wiring on surfaces. Search on Bibsonomy Discret. Appl. Math. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Understanding and addressing the impact of wiring congestion during technology mapping. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Takeshi Sakamoto, Takashi Yamada, Mamoru Mukuno, Yoshifumi Matsushita, Yasoo Harada, Hiroto Yasuura Power analysis techniques for SoC with improved wiring models. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF custom wire load model, SoC, power analysis, gate-level
17Weiping Shi, Douglas B. West Structural Diagnosis of Wiring Networks: Finding Connected Components of Unknown Subgraphs. Search on Bibsonomy SIAM J. Discret. Math. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17N. Magnus Hjelm, Joseph C. K. Lee, D. Cheng, C. Chui Wiring a medical school and teaching hospital for telemedicine. Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Steve Cisler Letter from Nebraska: Wiring the World. Search on Bibsonomy First Monday The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ronen Segev, Eshel Ben-Jacob Chemical waves and internal energy during cooperative self-wiring of neural nets. Search on Bibsonomy Neurocomputing The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Masahiro Maki, Seiji Hamada, Masamitsu Tokuda, Yoshifumi Shimoshio, Nobuo Kuwabara Home information wiring system using UTP cable for IEEE1394 and Ethernet systems. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Seymour E. Goodman, James B. Gottstein, Diane S. Goodman Wiring the wilderness in Alaska and the Yukon. Search on Bibsonomy Commun. ACM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Stephen O'Reilly, Maeve Duffy, Thomas Ott, Terence O'Donnell, Paul McCloskey, S. Cian O'Mathuna Characterisation of embedded filters in advanced printed wiring boards. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Alina Deutsch, Paul W. Coteus, Gerard V. Kopcsay, Howard H. Smith, Christopher W. Surovic, Byron Krauter, Daniel C. Edelstein, Phillip J. Restle On-chip wiring design challenges for gigahertz operation. Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration of field-programmable gate arrays. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, FPGA, system-level modeling, wire-length
17Hung-Ming Chen, D. F. Wong 0001, Wai-Kei Mak, Hannah Honghua Yang Faster and more accurate wiring evaluation in interconnect-centric floorplanning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Philip Marks, Frode Weierud Recovering the Wiring of Enigma's Umkehrwalze A. Search on Bibsonomy Cryptologia The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Ernest J. Wilson Wiring the African Economy. Search on Bibsonomy Electron. Mark. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Dennis Sylvester, Kurt Keutzer A global wiring paradigm for deep submicron design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Ronen Segev, Eshel Ben-Jacob Generic modeling of chemotactic based self-wiring of neural networks. Search on Bibsonomy Neural Networks The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Jun Kikuchi, Tetsuo Sasaki, Tohru Hashimoto, Kazuhisa Miyamoto Delay-optimal wiring plan for the microprocessor of high performance computing machines. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Wangning Long, Yu-Liang Wu, Jinian Bian IBAW: an implication-tree based alternative-wiring logic transformation algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Yu-Liang Wu, Chin Ngai Sze, Chak-Chung Cheung, Hongbing Fan On improved graph-based alternative wiring scheme for multi-level logic optimization. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Andrew B. Kahng, Dirk Stroobandt Wiring layer assignments with consistent stage delays. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF via impact, routing, delay, wire length, layer assignment
17Dong-Wan Tcha, J.-S. Choi Comparative economic analysis between direct and indirect wiring in the copper-based local loop. Search on Bibsonomy J. Oper. Res. Soc. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ruth Kuchem, Dorothea Wagner Wiring edge-disjoint layouts. Search on Bibsonomy Comput. Geom. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Weiping Shi, Douglas B. West Diagnosis of Wiring Networks: An Optimal Randomized Algorithm for Finding Connected Components of Unknown Graphs. Search on Bibsonomy SIAM J. Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Martin Nilsson 0001 Tactile Sensing with Minimal Wiring Complexity. Search on Bibsonomy ICRA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Dennis Sylvester, Kurt Keutzer Getting to the bottom of deep submicron II: a global wiring paradigm. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Shih-Chieh Chang, Jung-Cheng Chuang, Zhong-Zhen Wu Synthesis for multiple input wires replacement of a gate for wiring consideration. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Dmitri B. Chklovskii, Charles F. Stevens Wiring Optimization in the Brain Search on Bibsonomy NIPS The full citation details ... 1999 DBLP  BibTeX  RDF
17Maureen Battistella Wiring the Clinics: Building Teleliteracy in South Dakota's Rural Health Clinics. Search on Bibsonomy AMIA The full citation details ... 1999 DBLP  BibTeX  RDF
17Ronen Segev, Eshel Ben-Jacob Addendum to: "From Neurons to Brain: Adaptive Self-Wiring of Neurons". Search on Bibsonomy Adv. Complex Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Ronen Segev, Eshel Ben-Jacob From Neurons to Brain: Adaptive Self-Wiring of Neurons. Search on Bibsonomy Adv. Complex Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Nicholas G. Paulter Jr. Long-term repeatability of a TDR-based printed wiring board dielectric constant measurement system. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17 Wiring the World - The Impact of Information Technology on Society: Proceedings of the 1998 International Symposium on Technology and Society, ISTAS 1998, South Bend, IN, USA, June 12-13, 1998 Search on Bibsonomy ISTAS The full citation details ... 1998 DBLP  BibTeX  RDF
17Joseph B. Bernstein, Wei Zhang, Carl H. Nicholas Laser formed connections for programmable wiring. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Mike Morasky Wiring cracker: the mechanics of a non-anthropomorphic, real-time, performance animation puppet. Search on Bibsonomy SIGGRAPH Abstracts and Applications The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Junho Song, Lulu Rodriguez "Abetting the enemy": the repercussions of a Website-based information campaign to assist the flood victims of North Korea. Search on Bibsonomy ISTAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Gene Moriarty Toward an engineering ethics for the global information era. Search on Bibsonomy ISTAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Meighan Maguire The role of municipal officials in telephone system development: San Francisco, 1893-1915. Search on Bibsonomy ISTAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17D. O'Neill, Chuck Huff Ensuring universal access to telecommunications technologies for all citizens: equity vs. economic considerations. Search on Bibsonomy ISTAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Richard S. Rosenberg Privacy protection on the Internet: the marketplace versus the state. Search on Bibsonomy ISTAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license