The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "3DIC"( http://dblp.L3S.de/Venues/3DIC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/3dic

Publication years (Num. hits)
2009 (82) 2010 (67) 2011 (108) 2012-2013 (91) 2014 (47) 2015 (81) 2016 (49) 2019 (69) 2021 (18) 2023 (13)
Publication types (Num. hits)
inproceedings(615) proceedings(10)
Venues (Conferences, Journals, ...)
3DIC(625)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Brandon Noia, Krishnendu Chakrabarty Pre-bond testing of die logic and TSVs in high performance 3D-SICs. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Qian Zhao 0001, Yusuke Iwai, Motoki Amagasaki, Masahiro Iida, Toshinori Sueyoshi A novel reconfigurable logic device base on 3D stack technology. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shivam Priyadarshi, Jianchen Hu, Won Ha Choi, Samson Melamed, Xi Chen, W. Rhett Davis, Paul D. Franzon Pathfinder 3D: A flow for system-level design space exploration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Myat Thu Linn Aung, Eric Teck Heng Lim, Takefumi Yoshikawa, Tony Tae-Hyoung Kim Design of capacitive-coupling-based simultaneously bi-directional transceivers for 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fa Xing Che, Wahyuaji Narottama Putra, A. Heryanto, A. Trigg, S. Gao, Chee Lip Gan Numerical and experimental study on Cu protrusion of Cu-filled through-silicon vias (TSV). Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ryusuke Egawa, Yusuke Funaya, Ryu-ichi Nagaoka, Yusuke Endo, Akihiro Musa, Hiroyuki Takizawa, Hiroaki Kobayashi Effects of 3-D stacked vector cache on energy consumption. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nam Hee Kwon, S. M. Hong, Yong-Won Cha, Sun Jae Lee, Han Gyul Lee, Areum Kim, Soo Won Kim, Chang Hyun Kim, Sung Gyu Pyo Effect of planarity on the 3D integration in 3-D integrated CMOS image sensor. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Hideto Hashiguchi, Harufumi Kobayashi, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi W/Cu TSVs for 3D-LSI with minimum thermo-mechanical stress. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Memory-efficient logic layer communication platform for 3D-stacked memory-on-processor architectures. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Harufumi Kobayashi, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi High density Cu-TSVs and reliability issues. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Taro Hayashi, Kazuo Kondo, Minoru Takeuchi, Yushi Suzuki, Takeyasu Saito, Naoki Okamoto, Masao Marunaka, Takayuki Tsuchiya, Masaru Bunya 3D interconnected technology by high speed copper electrodeposition using diallylamine levelers. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ryohei Sato, Akihiro Tsukada, Yukihiro Sato, Yoshiharu Iwata, Hidenori Murata, Shigenobu Sekine, Ryuji Kimura, Keijiroh Kishi Study on high performance and productivity of TSV's with new filling method and alloy for advanced 3D-SiP. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kazuta Saito, Richard J. Webb, Blake R. Dronen Advances of 3M™ wafer support system. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1John H. Lau Recent advances and new trends in nanotechnology and 3D integration for semiconductor industry. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Joseph Romen Cubillo, Roshan Weerasekera, Zaw Zaw Oo, En-Xiao Liu, Bob Conn, Surya Bhattacharya, Robert Patti Interconnect design and analysis for Through Silicon Interposers (TSIs). Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fumiki Kato, Katsuya Kikuchi, Hiroshi Nakagawa, Masahiro Aoyagi Hot spots suppression by high thermal conductivity film in thin-sub strate CMOS ICs for 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Naoya Watanabe, Takumi Miyazaki, Masahiro Aoyagi, Kazuhiro Yoshikawa Damage evaluation of wet-chemical silicon-wafer thinning process. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Y. H. Hu, C. S. Liu, M. J. Lii, Kenneth J. Rebibis, Anne Jourdain, Antonio La Manna, Gerald Beyer, Eric Beyne, C. H. Yu 3D stacking using Cu-Cu direct bonding. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sayuri Kohara, Akihiro Horibe, Kuniaki Sueoka, Keiji Matsumoto, Fumiaki Yamada, Yasumitsu Orii, Katsuyuki Sakuma, Takahiro Kinoshita, Takashi Kawakami Thermal stress analysis of die stacks with fine-pitch IMC interconnections for 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaoyu Mi, Osamu Toyoda, Satoshi Ueda, Fumihiko Nakazawa A 3D heterogeneous integration method using LTCC wafer for RF applications. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Akihiro Ikeda, Naoya Watanabe, Tanemasa Asano High frequency signal transmission characteristics of cone bump interconnections. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sebastien Mermoz, Loic Sanchez, Léa Di Cioccio, Jean Berthier, Emilie Deloffre, Christian Fretigny Impact of containment and deposition method on sub-micron chip-to-wafer self-assembly yield. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hideaki Ishihara Evolutional Directions of Smart Automotive Systems and Semiconductors. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaodong Wang, Dilip P. Vasudevan, Hsien-Hsin S. Lee Global Built-In Self-Repair for 3D memories with redundancy sharing and parallel testing. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Akihiro Noriki, Kang Wook Lee 0002, Jichoel Bea, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi Fabrication tolerance evaluation of high efficient unidirectional optical coupler for though silicon photonic via in optoelectronic 3D-LSI. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fumihiko Nakazawa, Takeaki Shimanouchi, Tadashi Nakatani, Takashi Katsuki, Hisao Okuda, Osamu Toyoda, Satoshi Ueda Effect of frequency in the 3D integration of a PZT-actuated MEMS switch using a single crystal silicon asymmetric beam. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Toshiaki Itabashi, Masashi Kotani, Melvin P. Zussman, K. Zoschke, T. Fischer, M. Topper, Hiroyuki Ishida High temperature bonding solutions enabling thin wafer process and handling on 3D-IC manufacturing. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Elyse Rosenbaum, Vrashank Shukla, Min-Sun Keel ESD protection networks for 3D integrated circuits. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tomoji Nakamura, Hideki Kitada, Yoriko Mizushima, Nobuhide Maeda, Koji Fujimoto, Takayuki Ohba Comparative study of side-wall roughness effects on leakage currents in through-silicon via interconnects. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yoshiaki Oizono, Yoshitaka Nabeshima, Takafumi Okumura, Toshio Sudo, Atsushi Sakai, Shiro Uchiyama, Hiroaki Ikeda PDN impedance and SSO noise simulation of 3D system-in-package with a widebus structure. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Toru Ikeda, Masatoshi Oka, Shinya Kawahara, Noriyuki Miyazaki, Keiji Matsumoto, Sayuri Kohara, Yasumitsu Orii, Fumiaki Yamada, Morihiro Kada Combination between the nonlinear finite element analyses and the strain measurement using the digital image correlation for a new 3D SIC package. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fumihiro Inoue, Harold Philipsen, Alex Radisic, Silvia Armini, Peter Leunissen, Hiroshi Miyake, Ryohei Arima, Tomohiro Shimizu, Toshiaki Ito, Hirofumi Seki, Yuko Shinozaki, Tomohiko Yamamoto, Shoso Shingubara Low temperature through-Si via fabrication using electroless deposition. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Paul D. Franzon, W. Rhett Davis, Zheng Zhou 0004, Shivam Priyadarshi, Matthew Hogan, Tanay Karnik, Ganapti Srinavas Coordinating 3D designs: Interface IP, standards or free form? Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Teruyoshi Hatanaka, Koh Johguchi, Ken Takeuchi A 3D-Integration method to compensate output voltage degradation of boost converter for compact Solid-State-Drives. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hans-Günther Moser, Ladislav Andricek, Michael Beimforde, G. Liemann, Anna Macchiolo, Richard Nisius, Rainer Helmut Richter, Philipp Weigell Development of pixel detectors for particle physics using SLID-ICV interconnection technology. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrej Ivankovic, Geert Van der Plas, V. Moroz, M. Choi, Vladimir Cherman, Abdelkarim Mercha, Paul Marchal, Marcel Gonzalez, Geert Eneman, Wenqi Zhang, Thibault Buisson, Mikael Detalle, Antonio La Manna, Diederik Verkest, Gerald Beyer, Eric Beyne, Bart Vandevelde, Ingrid De Wolf, Dirk Vandepitte Analysis of microbump induced stress effects in 3D stacked IC technologies. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hong-Yeol Lim, Gi-Ho Park Adaptive prefetching scheme for exploiting massive memory bandwidth of 3-D IC technology. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Farhad Mehdipour, Krishna Chaitanya Nunna, Lovic Gauthier, Koji Inoue, Kazuaki J. Murakami A thermal-aware mapping algorithm for reducing peak temperature of an accelerator deployed in a 3D stack. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila HIBS - Novel inter-layer bus structure for stacked architectures. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takafumi Fukushima, Yuki Ohara, Jichoel Bea, Mariappan Murugesan, Kang Wook Lee 0002, Tetsu Tanaka, Mitsumasa Koyanagi Temporary bonding strength control for self-assembly-based 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kazuyuki Hozawa, Futoshi Furuta, Yuko Hanaoka, Mayu Aoki, Kenichi Takeda, Katsuyuki Sakuma, Kang Wook Lee 0002, Takafumi Fukushima, Mitsumasa Koyanagi Chip-level TSV integration for rapid prototyping of 3D system LSIs. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Satoru Toyoda, A. Shibata, M. Harada, Takahide Murayama, Toshiyuki Sakuishi, M. Hatanaka, Yasuhiro Morikawa, Koukou Suu TSV process solution for 3D-IC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1A. Ege Engin, N. Srinidhi Raghavan Metal semiconductor (MES) TSVs in 3D ICs: Electrical modeling and design. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yuki Ohara, Kang Wook Lee 0002, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi Novel detachable bonding process with wettability control of bonding surface for versatile chip-level 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kouji Kiyoyama, Kang Wook Lee 0002, Takafumi Fukushima, H. Naganuma, H. Kobayashi, Tetsu Tanaka, Mitsumasa Koyanagi A very low area ADC for 3-D stacked CMOS image processing system. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seyyed Hasan Moallempour, Seyyed Ahmad Razavi, Morteza Saheb Zamani TSV reduction in homogeneous 3D FPGAs by logic resource and input pad replication. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Widianto, Hiroyuki Yotsuyanagi, Akira Ono, Masao Takagi, Masaki Hashizume A built-in test circuit for open defects at interconnects between dies in 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chao Zhang 0007, Guangyu Sun 0003 Fabrication cost analysis for 2D, 2.5D, and 3D IC designs. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takanori Shuto, Naoya Watanabe, Akihiro Ikeda, Tanemasa Asano Low-temperature bonding of LSI chips to PEN film using Au cone bump for heterogeneous integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kuan-Neng Chen, Z. Xu, Fei Liu, Cheng-Ta Ko, Chuan-An Cheng, W. C. Huang, H. L. Lin, C. Cabral, Zhi-Cheng Hsiao, N. Klymko, Hsin-Chia Fu, Y. H. Chen, Jian-Qiang Lu, Wei-Chung Lo Cu-based bonding technology for 3D integration applications. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alain Phommahaxay, Anne Jourdain, Greet Verbinnen, Tobias Woitke, Peter Bisson, Markus Gabriel, Walter Spiess, Alice Guerrero, Jeremy McCutcheon, Rama Puligadda, Pieter Bex, Axel Van den Eede, Bart Swinnen, Gerald Beyer, Andy Miller, Eric Beyne Ultrathin wafer handling in 3D Stacked IC manufacturing combining a novel ZoneBOND™ temporary bonding process with room temperature peel debonding. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Futoshi Furuta, Kenichi Osada 6 Tbps/W, 1 Tbps/mm2, 3D interconnect using adaptive timing control and low capacitance TSV. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Osamu Nakatsuka, Hideki Kitada, Young-Suk Kim, Yoriko Mizushima, Tomoji Nakamura, Takayuki Ohba, Shigeaki Zaima Characterization of local strain around trough silicon via interconnects in wafer-on-wafer structures. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sten Vollebregt, Ryoichi Ishihara, Johan van der Cingel, Kees Beenakker Low-temperature bottom-up integration of carbon nanotubes for vertical interconnects in monolithic 3D integrated circuits. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yuka Ito, Shinsuke Terada, Shinya Arai, Koji Choki, Takafumi Fukushima, Mitsumasa Koyanagi High-bandwidth data transmission of new transceiver module through optical interconnection. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Won-Myoung Ki, Myong-Suk Kang, Sehoon Yoo, Chang-Woo Lee Fabrication and bonding process of fine pitch Cu pillar bump on thin Si chip for 3D stacking IC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1H. Y. Li, L. Xie, L. G. Ong, A. Baram, I. Herer, Arnon Hirshberg, S. C. Chong, D. L. Kwong Ultra-compact micro-coil realized via multilevel dense TSV coil for MEMs application. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Naoki Saito 0004, Naokazu Murata, Kinji Tamakawa, Ken Suzuki, Hideo Miura Mechanical and electrical reliability of copper interconnections for 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Manuel Suarez, Víctor M. Brea 0001, F. Pardo, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez A CMOS-3D reconfigurable architecture with in-pixel processing for feature detectors. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masanori Hayase, Naoki Mizukoshi, Masayuki Nagao Copper deep via superfilling by selective accelerator deactivation. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Revanth Nadipalli, Ji Fan, Holden King Ho Li, Keng Hoong Wee, Hao Yu 0001, Chuan Seng Tan 3D integration of MEMS and CMOS via Cu-Cu bonding with simultaneous formation of electrical, mechanical and hermetic bonds. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dau Fatt Lim, K. C. Leong, Chuan Seng Tan Selection of underfill material in Cu hybrid bonding and its effect on the transistor keep-out-zone. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Erik Vick, Scott H. Goodwin, Garry Cunnigham, Dorota Temple Vias-last process technology for thick 2.5D Si interposers. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Keith Buchanan, Dave Thomas, Hefin Griffiths, Kathrine Crook, Daniel Archard, Mark Carruthers, Masahiko Tanaka Plasma etch and dielectric deposition processes for TSV Reveal. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gyujei Lee, Suk-woo Jeon, Kwang-yoo Byun, Dongil Kwon Mechanical characterization of residual stress around TSV through instrumented indentation algorithm. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mayu Aoki, Kazuyuki Hozawa, Kenichi Takeda Void reduction in wafer bonding by simultaneously formed ventilation channels. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sung-Geun Kang, Youngrae Kim, Eun-Sol Kim, Naeun Lim, Teakgyu Jeong, Jieun Lee, Sarah Eunkyung Kim, Sungdong Kim Evaluation of wafer level Cu bonding for 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ran Wang, Gary Charles, Paul D. Franzon Modeling and compare of through-silicon-via (TSV) in high frequency. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nobuhide Maeda, Young-Suk Kim, Y. Hikosaka, T. Eshita, Hideki Kitada, K. Fujimoto, Yoriko Mizushima, K. Suzuki, Tadao Nakamura, Akihito Kawai, Kazuhisa Arai, Takayuki Ohba Development of ultra-thinning technology for logic and memory heterogeneous stack applications. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jae Hak Lee, Choong D. Yoo, Jun-Yeob Song, Seung S. Lee, Sun-Rak Kim A study on the edge traces technique for 3D stack chip. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andy Heinig, Christoph Sohrmann Multi-step approach for thermal optimization of 3D-IC and package. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xin Liu 0015, Lei Wang 0070, Mini Jayakrishnan, Jingjing Lan, Hongyu Li, Chong Ser Choong, M. Kumarasamy Raja, Yongxin Guo, Wang Ling Goh, Jin He, Shan Gao, Minkyu Je A miniaturized heterogeneous wireless sensor node in 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tadatomo Suga, Ryuichi Kondoh Low temperature bonding for 3D interconnects. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaowu Zhang, Ranjan Rajoo, F. X. Che, C. S. Selvanayagam, W. K. Choi, Shan Gao, Guo-Qiang Lo, Dim-Lee Kwong A low stress bond pad design optimization of low temperature solder interconnections on TSVs for MEMS applications. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Byung-Hyun Kwak, Sung-Hyuk Kim, Young-Bae Park Current stressing effect on interfacial reaction characteristics of Cu pillar/Sn-3.5Ag microbumps for 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Deepak C. Sekar, Zvi Or-Bach Monolithic 3D-ICs with single crystal silicon layers. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mauro Scandiuzzo, Roberto Cardu, Salvatore Cani, Simone Spolzino, Luca Perugini, Eleonora Franchi, Roberto Canegallo, Roberto Guerrieri 3D system on chip memory interface based on modeled capacitive coupling interconnections. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Erik Jan Marinissen, Chun-Chuan Chi, Jouke Verbree, Mario Konijnenburg 3D DfT architecture for pre-bond and post-bond testing. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kenzo Inagaki 3D R&D technology for the future voyage in Japan. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rebha El Farhane, Myriam Assous, Patrick Leduc, Aurélie Thuaire, David Bouchu, Hélène Feldis, Nicolas Sillon A successful implementation of dual damascene architecture to copper TSV for 3D high density applications. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Anne Jourdain, Thibault Buisson, Alain Phommahaxay, Mark Privett, Dan Wallace, Sumant Sood, Peter Bisson, Eric Beyne, Youssef Travaly, Bart Swinnen 300mm wafer thinning and backside passivation compatibility with temporary wafer bonding for 3D stacked IC applications. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christophe Zinck Keynote speakers day 1: 3D integration with TSV interconnects: Technology trends & market analysis. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Steve Lipa, Thorlindur Thorolfsson, Paul D. Franzon The NCSU Tezzaron design kit. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Grzegorz Deptuch, Marcel Demarteau, Jim Hoff, Ronald Lipton, Alpana Shenai, Raymond Yarema, Tom Zimmerman 0002 Pixel detectors in 3D technologies for high energy physics. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Gerrit Oosterhuis, Bert Huis in 't Veld, Gerald Ebberink, Daniël Arnaldo del Cerro, Edwin van den Eijnden, Peter Chall, Ben van der Zon Additive interconnect fabrication by picosecond Laser Induced Forward Transfer. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Moongon Jung, Sung Kyu Lim A study of IR-drop noise issues in 3D ICs with through-silicon-vias. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jean-François Pratte, Marc-André Tétrault, Réjean Fontaine High sensitivity fully digital photodetector. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shashikanth Bobba, Ashutosh Chakraborty, Olivier Thomas, Perrine Batude, Vasilis F. Pavlidis, Giovanni De Micheli Performance analysis of 3-D monolithic integrated circuits. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xiang Hu, Thomas Toms, Riko Radojcic, Matt Nowak, Nick Yu, Chung-Kuan Cheng Enabling power distribution network analysis flows for 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Harry Hedler, Thomas Scheiter, Markus Schieber, Armin Klumpp, Peter Ramm High performance 3D interconnects based on electrochemical etch and liquid metal fill. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Peter Schneider, Andy Heinig, Robert Fischbach, Jens Lienig, Sven Reitz, Jörn Stolle, Andreas Wilde Integration of multi physics modeling of 3D stacks into modern 3D data structures. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Weng Hong Teh, C. Deeb, J. Burggraf, D. Arazi, R. Young, C. Senowitz, A. Buxbaum Post-bond sub-500 nm alignment in 300 mm integrated face-to-face wafer-to-wafer Cu-Cu thermocompression, Si-Si fusion and oxideoxide fusion bonding. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vance Tyree 3DIC multi-project-wafer program: A collaboration to provide fabrication access. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Valerio Re 3D ICs and pixel sensors: The Italian VIPIX project and the European AIDA WP3 project. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mayu Aoki, Kazuyuki Hozawa, Kenichi Takeda Wafer-level hybrid bonding technology with copper/polymer co-planarization. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Young-Joon Lee, Sung Kyu Lim Timing analysis and optimization for 3D stacked multi-core microprocessors. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hanhua Qian, Xiwei Huang, Hao Yu 0001, Chip-Hong Chang Real-time thermal management of 3D multi-core system with fine-grained cooling control. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chuichi Miyazaki, Haruo Shimamoto, Toshihide Uematsu, Yoshiyuki Abe, Kosuke Kitaichi, Tadahiro Morifuji, Shoji Yasunaga Development of high accuracy wafer thinning and pickup technology for thin wafer. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ladislav Andricek, Michael Beimforde, Armin Klumpp, Anna Macchiolo, Karl-Reinhard Merkel, Hans-Günther Moser, Richard Nisius, Rainer Helmut Richter, Josef Weber, Philipp Weigell, Robert Wieland Application of the SLID-ICV interconnection technology for the ATLAS pixel upgrade at SLHC. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fumihiro Inoue, Takumi Yokoyama, Hiroshi Miyake, Shukichi Tanaka, Toshifumi Terui, Tomohiro Shimizu, Shoso Shingubara All-wet fabrication technology for high aspect ratio TSV using electroless barrier and seed layers. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license