The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASYNC"( http://dblp.L3S.de/Venues/ASYNC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/async

Publication years (Num. hits)
1994 (26) 1995 (23) 1996 (25) 1997 (26) 1998 (24) 1999 (22) 2000 (21) 2001 (24) 2002 (22) 2003 (22) 2004 (25) 2005 (24) 2006 (23) 2007 (19) 2008 (16) 2009 (22) 2010 (18) 2011-2012 (33) 2013 (26) 2014 (18) 2015 (21) 2016 (17) 2017 (18) 2018 (19) 2019 (18) 2020 (17) 2021-2023 (25)
Publication types (Num. hits)
inproceedings(565) proceedings(29)
Venues (Conferences, Journals, ...)
ASYNC(594)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 350 occurrences of 209 keywords

Results
Found 594 publication records. Showing 594 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Hans M. Jacobson, Prabhakar Kudva, Pradip Bose, Peter W. Cook, Stanley Schuster Synchronous Interlocked Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF progressive stalls, synchronous, Pipeline, asynchronous, clock gating, elastic, interlocked
1George S. Taylor, Simon W. Moore, Robert D. Mullins, Peter Robinson 0001 Point to Point GALS Interconnect. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Metehan Özcan, Masashi Imai, Takashi Nanya Generation and Verification of Timing Constraints for Fine-Grain Pipelined Asynchronous Data-Path Circuits. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Mark R. Greenstreet, Brian de Alwis How to Achieve Worst-Case Performance. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ivan E. Sutherland, Jon K. Lexau Designing Fast Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Alexandre Yakovlev, Fei Xia, Delong Shang Synthesis and Implementation of a Signal-Type Asynchronous Data Communication Mechanism. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Chris J. Myers, Hans M. Jacobson Efficient Exact Two-Level Hazard-Free Logic Minimization. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ryusuke Konishi, Hideyuki Ito, Hiroshi Nakada, Akira Nagoya, Norbert Imlig, Tsunemichi Shiozawa, Minoru Inamori, Kouichi Nagami, Kiyoshi Oguri PCA-1: A Fully Asynchronous, Self-Reconfigurable LSI. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ivan E. Sutherland, Scott Fairbanks GasP: A Minimal FIFO Control. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1 7th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2001), 11-14 March 2001, Salt Lake City, UT, USA Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  BibTeX  RDF
1William S. Coates, Jon K. Lexau, Ian W. Jones, Scott M. Fairbanks, Ivan E. Sutherland FLEETzero: An Asynchronous Switching Experiment. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ajay Koche Testing Asynchronous Circuits: Help is on the Way! Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Motokazu Ozawa, Masashi Imai, Hiroshi Nakamura, Takashi Nanya, Yoichiro Ueno Performance Evaluation of Cascade ALU Architecture for Asynchronous Super-Scalar Processors. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Tony Werner, Venkatesh Akella An Asynchronous Superscalar Architecture for Exploiting Instruction-Level Parallelism. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1David W. Lloyd, Jim D. Garside A Practical Comparison of Asynchronous Design Styles. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Mike J. G. Lewis, L. E. M. Brackenbury Exploiting Typical DSP Data Access Patterns and Asynchrony for a Low Power Multiported Register Bank. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ad M. G. Peeters, Kees van Berkel 0001 Synchronous Handshake Circuits. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Kevin Normoyle Where are the Async Millionaires? Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Bill Athas Asynchronous Design and the Pursuit of Low Power. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Gianluca Cornetta, Jordi Cortadella A Multi-Radix Approach to Asynchronous Division. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Robert Berks, Radu Negulescu Partial-Order Correctness-Preserving Properties of Delay-Insensitive Circuits. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Joep L. W. Kessels, Ad M. G. Peeters, Torsten Kramer, Markus Feuser, Klaus Ully Designing an Asynchronous Bus Interface. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Jo C. Ebergen Squaring the FIFO in GasP. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Rajit Manohar An Analysis of Reshuffled Handshaking Expansions. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Daranee Hormdee, Jim D. Garside AMULET3i Cache Architecture. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Peter A. Riocreux, L. E. M. Brackenbury, J. Mike Cumpstey, Stephen B. Furber A Low-Power Self-Timed Viterbi Decoder. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1W. J. Bainbridge, Stephen B. Furber Delay Insensitive System-on-Chip Interconnect using 1-of-4 Data Encoding. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Montek Singh, Steven M. Nowick High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design
1Charles E. Molnar, Ian W. Jones Simple Circuits that Work for Complicated Reasons. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MUTEX, Delay measurement technique, Latch control circuit, Charlie Box, Asynchronous, FIFO, Arbiter, Micropipeline
1Willem C. Mallon On Directed Transformations of Delay-Insensitive Specifications, Alternations and Dynamic Nondeterminism. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF {Communicating Processes}, {Computer Aided Design}, Meta-stability, Formal Methods, Handshake Protocol, Delay-Insensitivity
1Jens Muttersbach, Thomas Villiger, Wolfgang Fichtner Practical Design of Globally-Asynchronous Locally-Synchronous Systems. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Fei Xia, Alexandre Yakovlev, Delong Shang, Alexandre V. Bystrov, Albert Koelmans, D. J. Kinniment Asynchronous Communication Mechanisms Using Self-Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Oliver Hauck, A. Katoch, Sorin A. Huss VLSI System Design Using Asynchronous Wave Pipelines: A 0.35?m CMOS 1.5 GHz Elliptic Curve Public Key Cryptosystem Chip. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Euiseok Kim, Jeong-Gun Lee, Dong-Ik Lee Automatic Process-Oriented Control Circuit Generation for Asynchronous High-Level Synthesis. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Michiel M. Ligthart, Karl Fant, Ross Smith, Alexander Taubin, Alex Kondratyev Asynchronous Design Using Commercial HDL Synthesis Tools. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Marco A. Peña, Jordi Cortadella, Enric Pastor, Alex Kondratyev Formal Verification of Safety Properties in Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Formal verification, asynchronous circuits, timing analysis
1Hans M. Jacobson, Erik Brunvand, Ganesh Gopalakrishnan, Prabhakar Kudva High-Level Asynchronous System Design Using the ACK Framework. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2-6 April 2000, Eilat, Israel Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  BibTeX  RDF
1George S. Taylor, Simon W. Moore, Steve Wilcox, Peter Robinson 0001 An On-Chip Dynamically Recalibrated Delay Line for Embedded Self-Timed Systems. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Marly Roncken, Ken S. Stevens, Rajesh Pendurkar, Shai Rotem, Parimal Pal Chaudhuri CA-BIST for Asynchronous Circuits: A Case Study on the RAPPID Asynchronous Instruction Length Decoder. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF pulse logic, switch-level fault simulation, Cellular Automata, BIST, asynchronous circuits, testability, stuck-at faults, domino logic, self-timed circuits, dynamic circuits
1Ivan Blunno, Luciano Lavagno Automated Synthesis of Micro-Pipelines from Behavioral Verilog HDL. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Igor Benko, Jo C. Ebergen Composing Snippets. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Mike J. G. Lewis, L. E. M. Brackenbury An Instruction Buffer for a Low-Power DSP. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Alexandre V. Bystrov, D. J. Kinniment, Alexandre Yakovlev Priority Arbiters. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic priority, asynchronous circuits, concurrent systems, arbiters, static priority
1Joep L. W. Kessels, Gerrit den Besten, Ad M. G. Peeters, Torsten Kramer, Volker Timm Applying Asynchronous Circuits in Contactless Smart Cards. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power asynchronous circuits, contactless devices, DES cryptography, smart cards
1Jim D. Garside, W. J. Bainbridge, Andrew Bardsley, David M. Clark, David A. Edwards, Stephen B. Furber, David W. Lloyd, Siamak Mohammadi, J. S. Pepper, Steve Temple, John V. Woods, Jianwei Liu, O. Petli AMULET3i - An Asynchronous System-on-Chip. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Tiberiu Chelcea, Steven M. Nowick Low-Latency Asynchronous FIFO's Using Token Rings. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, asynchronous, FIFO, low-latency, digital design, token ring
1Philip P. Shirvani, Subhasish Mitra, Jo C. Ebergen, Marly Roncken DUDES: A Fault Abstraction and Collapsing Framework for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DUDES, testing, ATPG, fault model, asynchronous circuit, stuck-at fault, fault collapsing
1Willem C. Mallon, Jan Tijmen Udding, Tom Verhoeff Analysis and Applications of the XDI model. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Verification, Factorization, Derivation, Communicating Processes, Delay Insensitivity
1David A. Kearney Theoretical Limits on the Data Dependent Performance of Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Märt Saarepera, Tomohiro Yoneda A Self-Timed Implementation of Boolean Functions. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Per Arne Karlsen, Per Torstein Røine A Timing Verifier and Timing Profiler for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Mike J. G. Lewis, Jim D. Garside, L. E. M. Brackenbury Reconfigurable Latch Controllers for Low Power Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Tod Amon, Henrik Hulgaard Symbolic Time Separation of Events. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Bill Coates 0001, Jo C. Ebergen, Jon K. Lexau, Scott Fairbanks, Ian W. Jones, Alex Ridgway, David Money Harris, Ivan E. Sutherland A Counterflow Pipeline Experiment. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Oliver Hauck, M. Garg, Sorin A. Huss Two-Phase Asynchronous Wave-Pipelines and Their Application to a 2D-DCT. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Wendy Belluomini, Chris J. Myers, H. Peter Hofstee Verification of Delayed-Reset Domino Circuits Using ATACS. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Rajit Manohar, Tak-Kwan Lee, Alain J. Martin Projection: A Synthesis Technique for Concurrent Systems. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Mark R. Greenstreet, Tarik Ono-Tesfaye A Fast, asP*, RGD Arbiter. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Mark R. Greenstreet Real-Time Merging. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bounded-time, receptive mixer, real-time, merging, arbitration, metastability
1Ken S. Stevens, Shai Rotem, Ran Ginosar Relative Timing. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1David W. Lloyd, Jim D. Garside, D. A. Gilbert Memory Faults in Asynchronous Microprocessors. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Jim D. Garside, Stephen B. Furber, S.-H. Chung AMULET3 Revealed. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Marc Renaudin, Pascal Vivet, Frédéric Robin A Design Framework for Asynchronous/Synchronous Circuits Based on CHP to VHDL Translation. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Shai Rotem, Ken S. Stevens, Charles Dike, Marly Roncken, Boris Agapiev, Ran Ginosar, Rakefet Kol, Peter A. Beerel, Chris J. Myers, Kenneth Y. Yun RAPPID: An Asynchronous Instruction Length Decoder. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Aiguo Xie, Sangyun Kim 0001, Peter A. Beerel Bounding Average Time Separations of Events in Stochastic Timed Petri Nets with Choice. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Tomohiro Yoneda, Hiroshi Ryu Timed Trace Theoretic Verification Using Partial Order Reduction. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Alexander Taubin, Alex Kondratyev, Jordi Cortadella, Luciano Lavagno Behavioral Transformations to Increase Noise Immunity in Asynchronous Specifications. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Jochen Beister, Gernot Eckstein, Ralf Wollowski From STG to Extended-Burst-Mode Machines. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '99), 19-22 April 1999, Barcelona, Spain Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  BibTeX  RDF
1Tarik Ono-Tesfaye, Christoph Kern, Mark R. Greenstreet Verifying a Self-Timed Divider. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF model checking, refinement, asynchronous, hardware verification, timing verification, self-timed, speed-independence
1 4th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '98), 30 March - 2 April 1998, San Diego, CA, USA Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  BibTeX  RDF
1Marc Renaudin, Pascal Vivet, Frédéric Robin ASPRO-216: A Standard-Cell Q.D.I. 16-Bit RISC Asynchronous Microprocessor. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF asynchronous microprocessor, quasi-delay-insensitive circuits, standard-cell asynchronous design
1Aiguo Xie, Peter A. Beerel Accelerating Markovian Analysis of Asynchronous Systems using String- based State Compression. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF stationary analysis, state compression, performance evaluation, Asynchronous systems, power estimation, convergence rate, Markov chain models, feedback vertex set
1Kåre Tais Christensen, Peter Jensen, Peter Korger, Jens Sparsø The Design of an Asynchronous TinyRISCTM TR4101 Microprocessor Core. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Asynchronous circuits and systems, low-power, microprocessor design
1W. J. Bainbridge, Stephen B. Furber Asynchronous Macrocell Interconnect using MARBLE. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Macrocell Bus, VLSI, Interconnect, Asynchronous
1William S. Coates, Jon K. Lexau, Ian W. Jones, Scott M. Fairbanks, Ivan E. Sutherland A FIFO Data Switch Design Experiment. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Data Switch, P**3, Asynchronous, FIFO
1Michael Theobald, Steven M. Nowick An Implicit Method for Hazard-Free Two-Level Logic Minimization. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hazard-free, two-level, dynamic-hazard-free prime implicants, asynchronous, BDD, logic minimization, implicit
1Hans van Gageldonk, Kees van Berkel 0001, Ad M. G. Peeters, Daniel Baumann, Daniel Gloor, Gerhard Stegmann An Asynchronous Low-Power 80C51 Microcontroller. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI-programming, low-power, microcontrollers, asynchronous design
1Willem C. Mallon, Jan Tijmen Udding Building Finite Automata from DI Specifications. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Nigel C. Paver, Paul Day, Craig Farnsworth, Dave L. Jackson, Warren A. Lien, Jianwei Liu A Low-Power, Low-Noise, Configurable Self-Timed DSP. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Jo C. Ebergen, Scott Fairbanks, Ivan E. Sutherland Predicting Performance of Micropipelines Using Charlie Diagrams. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Yoshio Kameda, Stanislav Polonsky, Masaaki Maezawa, Takashi Nanya Primitive-Level Pipelining Method on Delay-Insensitive Model for RSFQ Pulse-Driven Logic. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pulse-driven logic, Josephson junction device, RSFQ device, pipeline, asynchronous circuit, delay-insensitive circuit
1Kevin W. James, Kenneth Y. Yun Average-Case Optimized Transistor-Level Technology Mapping of Extended Burst-Mode Circuits. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Stanislaw J. Piestrak Membership Test Logic for Delay-Insensitive Codes. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Martin Benes 0002, Steven M. Nowick, Andrew Wolfe A Fast Asynchronous Huffman Decoder for Compressed-Code Embedded Processors. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Huffman encoding, embedded systems, asynchronous, embedded processors, dynamic logic, hazards, digital design
1Tom Verhoeff Analyzing Specifications for Delay-Insensitive Circuits. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Per Torstein Røine An Asynchronous PRBS Error Checker for Testing High-Speed Self-Clocked Serial Links. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Ross Smith, Karl Fant, Dave Parker, Rick Stephani, Ching-Yi Wang An Asynchronous 2-D Discrete Cosine Transform Chip. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF DCT, asynchronous, threshold logic, bit-serial
1D. J. Kinniment, Alexandre Yakovlev, Fei Xia, B. Gao Towards Asynchronous A-D Conversion. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF analogue to digital conversion, synchronisers, asynchronous circuits, arbitration, signal transition graphs, metastability
1Radu Negulescu, Ad M. G. Peeters Verification of Speed-Dependences in Single-Rail Handshake Circuits. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF single-rail, isochronic forks, verification, timing, asynchronous circuits, progress, speed-independent circuits, process spaces, handshake circuits
1Wei-Chun Chou, Peter A. Beerel, Ran Ginosar, Rakefet Kol, Chris J. Myers, Shai Rotem, Ken S. Stevens, Kenneth Y. Yun Average-Case Optimized Technology Mapping of One-Hot Domino CircuitsAverage-Case Optimized Transistor-Level Technology Mapping of Extended Burst-Mode Circuits. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Bruce W. Hunt, Kenneth S. Stevens, Bruce W. Suter, Donald S. Gelosh A Single Chip Low Power Asynchronous Implementation of an FFT Algorithm for Space Applications. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Radiation Tolerant, VLSI, FFT, Asynchronous
1Z. John Deng, Steve R. Whiteley, Theodore Van Duzer, José A. Tierno Asynchronous Circuits and Systems in Superconducting RSFQ Digital Technology. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Priyadarsan Patra, Stanislav Polonsky, Donald S. Fussell Delay Insensitive Logic for RSFQ Superconductor Technology. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1David A. Kearney, Neil W. Bergmann Bundled Data Asynchronous Multipliers with Data Dependent Computation Times. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asynchronous logic data dependent performance multiplier
1D. A. Gilbert, Jim D. Garside A Result Forwarding Mechanism for Asynchronous Pipelined Systems. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dependency, asynchronous, Exception, reorder buffer
1Supratik Chakraborty, David L. Dill, Kun-Yung Chang, Kenneth Y. Yun Timing Analysis of Extended Burst-Mode Circuits. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Extended burst-mode circuits, 3D design style, global timing constraints, uncertain component delays, thirteen-valued signal algebra, polynomial-time
1Alexei L. Semenov, Alexandre Yakovlev, Enric Pastor, Marco A. Peña, Jordi Cortadella, Luciano Lavagno Partial order based approach to synthesis of speed-independent circuits. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF approximation, synthesis, asynchronous circuits, unfolding, Signal Transition Graph
1Gensoh Matsubara, Nobuhiro Ide A Low Power Zero-Overhead Self-Timed Division and Square Root Unit Combining a Single-Rail Static Circuit with a Dual-Rail Dynamic Circuit. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF floating point, division, square root, self-timed
Displaying result #401 - #500 of 594 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license