The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMOS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1981 (16) 1982-1983 (18) 1984 (16) 1985 (28) 1986 (46) 1987 (30) 1988 (66) 1989 (53) 1990 (81) 1991 (98) 1992 (92) 1993 (125) 1994 (196) 1995 (296) 1996 (234) 1997 (237) 1998 (330) 1999 (525) 2000 (469) 2001 (574) 2002 (615) 2003 (907) 2004 (876) 2005 (1177) 2006 (1421) 2007 (1339) 2008 (1283) 2009 (989) 2010 (859) 2011 (954) 2012 (952) 2013 (894) 2014 (952) 2015 (1005) 2016 (924) 2017 (908) 2018 (1018) 2019 (987) 2020 (767) 2021 (840) 2022 (758) 2023 (754) 2024 (163)
Publication types (Num. hits)
article(8753) book(14) data(4) incollection(34) inproceedings(15928) phdthesis(139)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5098 occurrences of 1721 keywords

Results
Found 24872 publication records. Showing 24872 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Liangxiu Han, Asen Asenov, Dave Berry, Campbell Millar, Gareth Roy, Scott Roy, Richard O. Sinnott, Gordon Stewart 0002 Towards a Grid-Enabled Simulation Framework for Nano-CMOS Electronics. Search on Bibsonomy eScience The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ming-Dou Ker, Hung-Tai Liao Design of Mixed-Voltage Crystal Oscillator Circuit in Low-Voltage CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alexander Fish, Tomer Rothschild, Avichay Hodes, Yonatan Shoshan, Orly Yadid-Pecht Low Power CMOS Image Sensors Employing Adaptive Bulk Biasing Control (AB2C) Approach. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Adrian Tang 0002, Fei Yuan 0005, Eddie Law A New CMOS BPSK Modulator with Optimal Transaction Bandwidth Control. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rahul Singh, Yves Audet, Yves Gagnon, Yvon Savaria Integrated Circuit Trimming Technique for Offset Reduction in a Precision CMOS Amplifier. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Minsik Ahn, Chang-Ho Lee, Joy Laskar CMOS High Power SPDT Switch using Multigate Structure. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Joan Lluís Lopez, Jordi Teva, Arantxa Uranga, Francesc Torres 0001, Jaume Verd, Gabriel Abadal, Núria Barniol, Jaume Esteve, Francesc Pérez-Murano Mixing in a 220MHz CMOS-MEMS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Bradley A. Minch Low-Voltage Wilson Current Mirrors in CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18José C. García 0001, Juan A. Montiel-Nelson, Saeid Nooshabadi Adaptive Low/High Voltage Swing CMOS Driver for On-Chip Interconnects. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hsiao Wei Su, Zhi Hua Wang The Impact of Different Gain Control Methods on Performance of CMOS Variable-Gain LNA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Shubha Bommalingaiahnapallya, Kin-Joe Sham, Mahmoud Reza Ahmadi, Ramesh Harjani High-Speed Circuits for a Multi-Lane 12 Gbps CMOS PRBS Generator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Behzad Razavi CMOS Transceivers at 60 GHz and Beyond1. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Georges G. E. Gielen Future trends for wireless communication frontends in nanometer CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RF frontends, wireless sensor networks, wireless communication, integrated circuits, reconfigurable hardware
18Jeong-A Im, Dae Woong Kim, Ki-Sang Hong Digital Video Stabilization Algorithm for CMOS Image Sensor. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Bilge Saglam Akgul, Lakshmi N. Chakrapani, Pinar Korkmaz, Krishna V. Palem Probabilistic CMOS Technology: A Survey and Future Directions. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Dmitri B. Strukov, Konstantin K. Likharev A reconfigurable architecture for hybrid CMOS/Nanodevice circuits. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF integrated hybrid circuits, architecture, programmable logic, nanoelectronics, programmable interconnect
18Lakshmi N. Chakrapani, Bilge Saglam Akgul, Suresh Cheemalavagu, Pinar Korkmaz, Krishna V. Palem, Balasubramanian Seshasayee Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kambiz K. Moez, Mohammad Ibrahim Elmasry A novel loss compensation technique for broadband CMOS distributed amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Omer Can Akgun, Yusuf Leblebici Weak inversion performance of CMOS and DCVSPG logic families in sub-300 mV range. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ranjith Kumar, Volkan Kursun Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Saeed Saeedi, Saeid Mehrmanesh, Armin Tajalli, Seyed Mojtaba Atarodi A technique to suppress tail current flicker noise in CMOS LC VCOs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Baoyong Chi, Bingxue Shi, Zhihua Wang 0001 A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceivers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yijun Zhou, Michael Yan Wah Chia A wide band CMOS RF power detector. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Francisco Tejada, Andreas G. Andreou, Philippe O. Pouliquen Stacked, standing wave detectors in 3D SOI-CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yijin Wang, Ming Hsing, Chen Xu, Jiong Li, Mansun Chan A single chip micro-DNA-array system based on CMOS image sensor technology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Anis Nurashikin Nordin, Mona E. Zaghloul Design and implementation of a 1GHz CMOS resonator utilizing surface acoustic wave. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Pablo Sergio Mandolesi, Pedro Julián, Andreas G. Andreou A simplicial CNN visual processor in 3D SOI-CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Matti Paavola, Mika Laiho, Mikko Saukoski, Kari Halonen A 3µW, 2 MHz CMOS frequency reference for capacitive sensor applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Massimo Alioto, Gaetano Palumbo, Massimo Poli Efficient output transition time modeling in CMOS gates with ramp/exponential inputs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Arantxa Uranga, Jordi Teva, Jaume Verd, Joan Lluís Lopez, Francesc Torres 0001, Gabriel Abadal, Núria Barniol, Jaume Esteve, Francesc Pérez-Murano CMOS integrated MEMS resonator for RF applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Erhan Ozalevli, Paul E. Hasler A tunable floating gate CMOS resistor for low-power and low-voltage applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ta-Tao Hsu, Chien-Nan Kuo Low voltage 2-mW 6~10.6-GHz ultra-wideband CMOS mixer with active balun. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tung N. Pham, Earl E. Swartzlander Jr. Design of Radix-4 SRT Dividers in 65 Nanometer CMOS Technology. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18H.-S. Philip Wong Device and Technology Challenges for Nanoscale CMOS. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hsin-Chyh Hsu, Ming-Dou Ker Dummy-Gate Structure to Improve ESD Robustness in a Fully-Salicided 130-nm CMOS Technology without Using Extra Salicide-Blocking Mask. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Woochul Jeon, John Melngailis, Robert W. Newcomb CMOS Schottky diode microwave power detector fabrication, Spice modeling, and applications. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Supriya S. Shanbhag CMOS Integrated Circuit for Sensing Applications. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Mircea R. Stan, Garrett S. Rose, Matthew M. Ziegler Hybrid CMOS/Molecular Electronic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yasuhiro Takahashi, Youhei Fukuta, Toshikazu Sekine, Michio Yokoyama 2PADCL: Two Phase drive Adiabatic Dynamic CMOS Logic. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chris Siu, Soraya Kasnavi, Kris Iniewski, Frederic Nabki RF CMOS Circuits for Ad-Hoc Networks and Wearable Computing. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Abdelkarim Mercha Technology and architecture for deep submicron RF CMOS technology. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Honghao Ji, Pamela Abshire, M. Urdaneta, Elisabeth Smela CMOS contact imager for monitoring cultured cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18T. Hasan, Torsten Lehmann, Chee Yee Kwok A 5V charge pump in a standard 1.8-V 0.18-µm CMOS process. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Xuefeng Yu, Foster F. Dai, Yin Shi, Ronghua Zhu 2 GHz 8-bit CMOS ROM-less direct digital frequency synthesizer. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan Low-voltage CMOS analog bootstrapped switch for sample-and-hold circuit: design and chip characterization. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Shouli Yan, Jingyu Hu, Tongyu Song Novel and robust constant-gm technique for rail-to-rail CMOS amplifier input stages. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Tadayoshi Enomoto, Nobuaki Kobayashi A low dynamic power and low leakage power CMOS square-root circuit. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Erhan Ozalevli, Paul E. Hasler Programmable floating-gate CMOS resistors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Zhao Li, Ravikanth Suravarapu, Roy Hartono, Sambuddha Bhattacharya, Kartikeya Mayaram, C.-J. Richard Shi CrtSmile: a CAD tool for CMOS RF transistor substrate modeling incorporating layout effects. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Vojkan Vidojkovic, Johan van der Tang, Eric Hanssen, Arjan J. Leeuwenburgh, Arthur H. M. van Roermund Low voltage, low power folded-switching mixer with current-reuse in 0.18µm CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Seoung-Jae Yoo, Arun Ravindran, Mohammed Ismail 0001 A low voltage CMOS transresistance-based variable gain amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Chin-Long Wey, Mohammad Athar Khalil, Jim Liu, Gregory Wierzba Hierarchical extreme-voltage stress test of analog CMOS ICs for gate-oxide reliability enhancement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IC reliability
18Alexandre Schmid, Yusuf Leblebici A Highly Fault Tolerant PLA Architecture for Failure-Prone Nanometer CMOS and Novel Quantum Device Technologies. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman High Input Voltage Step-Down DC-DC Converters for Integration in a Low Voltage CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Narender Hanchate, Nagarajan Ranganathan A New Technique for Leakage Reduction in CMOS Circuits using Self-Controlled Stacked Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Li Ding 0002, Pinaki Mazumder A novel technique to improve noise immunity of CMOS dynamic logic circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF domino logic style, negative differential resistance, noise-tolerant design, digital integrated circuits, dynamic circuits
18Khalid H. Abed, Raymond E. Siferd CMOS VLSI Implementation of a Low-Power Logarithmic Converter. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Anti-logarithm, binary logarithms, floating-point normalization, leading-one detector, elementary functions, logarithmic number system, low-power circuits
18Se-Hyun Yang, Babak Falsafi Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Julio Arlindo Pinto Azevedo, Tales Cleber Pimenta Design of a Low Noise Amplifier for CDMA Transceivers at 900MHz in CMOS 0.35 µm. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Alessandra Nardi, Haibo Zeng 0001, Joshua L. Garrett, Luca Daniel, Alberto L. Sangiovanni-Vincentelli A Methodology for the Computation of an Upper Bound on Nose Current Spectrum of CMOS Switching Activity. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Farid Boussaïd, Amine Bermak, Abdesselam Bouzerdoum Programmable Multi-Task On-Chip Processing for CMOS Imagers. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ertan Zencir, Numan Sadi Dogan, Ercument Arvas, Mohammed Ketel A low-power low-noise amplifier in 0.35µm SOI CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18C. Tongchoi, Mitchai Chongcheawchamnan, Apisak Worapishet Lumped element based Doherty power amplifier topology in CMOS process. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mingyan Zhang, Jiangmin Gu, Chip-Hong Chang A novel hybrid pass logic with static CMOS output drive full-adder cell. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Antonio Jesús Torralba Silgado, Ramón González Carvajal, Juan Antonio Gómez Galán, Jaime Ramírez-Angulo A new compact low-power high slew rate class AB CMOS buffer. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18P. Sirinamaratana, N. Wongkomet A 0.7µm CMOS anti-aliasing filter for non-oversampled video signal applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18F. Hashemi, Khayrollah Hadidi, Abdollah Khoei Design of a CMOS image sensor with pixel-level ADC in 0.35µm process. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Joon-Jea Sung, Guen-Soon Kang, Suki Kim A CMOS infrared optical signal processor for remote control. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Rafik S. Guindi, Farid N. Najm Design Techniques for Gate-Leakage Reduction in CMOS Circuits. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Rajiv V. Joshi, Kaushik Roy 0001 Design of Deep Sub-Micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Bedabrata Pain, Bruce Hancock, Thomas Cunningham, Guang Yang 0003, Suresh Seshadri, Julie Heynssens, Chris Wrigley CMOS Digital Imager Design from a System-on-a-chip Perspective. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Abhisek Dixit, V. Ramgopal Rao A Novel Dynamic Threshold Operation Using Electrically Induced Junction MOSFET in the Deep Sub-micrometer CMOS Regime. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Geun Rae Cho, Tom Chen 0001 On Single/Dual-Rail Mixed PTL/Static Circuits in Floating-Body SOI and Bulk CMOS: A Comparative Assessment. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Philippe Maurine, Mustapha Rezzoug, Nadine Azémard, Daniel Auvergne Transition time modeling in deep submicron CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Ashish Syal, Victor Lee, André Ivanov, Josep Altet CMOS Differential and Absolute Thermal Sensors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF differential thermal sensors, absolute thermal sensors, IDDQ, thermal testing
18Alice Wang, Anantha P. Chandrakasan, Stephen V. Kosonocky Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Sandro A. P. Haddad, Wouter A. Serdijn High-frequency dynamic translinear and log-domain circuits in CMOS technology. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Weidong Guo, Robert J. Huber, Kent F. Smith A current steering CMOS folding amplifier. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Lige Wang, M. N. El-Gamal A CMOS companding-based third order Chebyshev filter. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Fernando Silveira, Denis Flandre A 110 nA pacemaker sensing channel in CMOS on silicon-on-insulator. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18M. Nakamura, Toshimasa Matsuoka, Kenji Taniguchi 0001 CMOS phase-shift VCO for short-range wireless communication. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Xuguang Zhang, Ezz I. El-Masry A high-performance, low-voltage, body-driven CMOS current mirror. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Hwang-Cherng Chow, Yung-Kuo Ho New pixel-shared design and split-path readout of CMOS image sensor circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Toshiyuki Okayasu, Masakatsu Suda, Kazuhiro Yamamoto CMOS Circuit Technology for Precise GHz Timing Generator. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jaume Segura 0001, Ali Keshavarzi, Jerry M. Soden, Charles F. Hawkins Parametric Failures in CMOS ICs - A Defect-Based Analysis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mohamed Hafed, Mourad Oulmane, Nicholas C. Rumin Delay and current estimation in a CMOS inverter with an RC load. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Geoffrey D. Duerden, Gordon W. Roberts, M. Jamal Deen The development of bipolar log domain filters in a standard CMOS process. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Yong-In Park, Soundarapandian Karthikeyan, Frank (Ching-Yuh) Tsay, Eduardo Bartolome A low power 10 bit, 80 MS/s CMOS pipelined ADC at 1.8 V power supply. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Stefan Getzlaff, Jörg Schreiter, Achim Graupner, René Schüffny A system-on-chip realization of a CMOS image sensor with programmable analog image preprocessing. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Alexander Fish, Orly Yadid-Pecht CMOS current/voltage mode winner-take-all circuit with spatial filtering. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ashish Syal, Victor Lee, André Ivanov, Josep Altet CMOS Differential and Absolute Thermal Sensors. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Akira Matsuzawa High Quality Analog CMOS and Mixed Signal LSI Design. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Alejandro F. González, Mayukh Bhattacharya, Shriram Kulkarni, Pinaki Mazumder Standard CMOS Implementation of a Multiple-Valued Logic Signed-Digit Adder Based on Negative Differential-Resistance Devices. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF signed-digit adder, negative differential-resistance devices, NDR devices, multiple-valued logic, resonant-tunneling diodes, redundant number systems, RTDs
18Hyeon Kyeong Seong, Jai Seok Choi, Boo Sik Shin, Heung-Soo Kim Implementation of Multiple-Valued Multiplier on GF(3m) Using Current Mode CMOS. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Vjekoslav Svilan, Masataka Matsui, James B. Burr Energy-efficient 32 × 32-bit multiplier in tunable near-zero threshold CMOS. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Russell E. Henning, Chaitali Chakrabarti Relating Data Characteristics to Transition Activity in High-Level Static CMOS Design. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF statistical parameters, high-level synthesis, Low power design, data models, transition activity
18Jeff Y. F. Hsieh, Teresa H.-Y. Meng Low-Power Parallel Video Compression Architecture for a Single-Chip Digital CMOS Camera. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Viera Stopjaková, Hans A. R. Manhaeve, M. Sidiropulos On-Chip Transient Current Monitor for Testing of Low Voltage CMOS IC. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Fred J. Pollack New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Andreas Teuner, Matthias Hillebrand, Bedrich J. Hosticka, S.-B. Park, José Emilio Santos Conde, Nenad Stevanovic Surveillance Sensor Systems Using CMOS Imagers. Search on Bibsonomy ICIAP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 24872 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license