The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Jianxun Zhang, Zhimin Gu Exposing the Shared Cache Behavior of Helper Thread on CMP Platforms. Search on Bibsonomy CSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Rawan Abdel-Khalek, Ritesh Parikh, Andrew DeOrio, Valeria Bertacco Functional correctness for CMP interconnects. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Sachhidh Kannan, Garrett S. Rose A hierarchical 3-D floorplanning algorithm for many-core CMP networks. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Lide Duan, Lu Peng 0001, Bin Li 0008 Two-level soft error vulnerability prediction on SMT/CMP architectures. Search on Bibsonomy IISWC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Van T. K. Tran, Kevin Lee, Alan D. Fekete, Anna Liu, Jacky Keung Size Estimation of Cloud Migration Projects with Cloud Migration Point (CMP). Search on Bibsonomy ESEM The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Philippe Morey-Chaisemartin, Eric Beisser, Jean-Claude Marin, Lidwine Chaize, Pascal Guyader, Julien Rosa CMP monitoring and prediction based metal fill. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Hideaki Moriyama, Toshihiro Yamauchi, Hideo Taniguchi Control method of multiple services for CMP based on continuation model. Search on Bibsonomy ICIS The full citation details ... 2011 DBLP  BibTeX  RDF
18Vinay Saripalli, Asit K. Mishra, Suman Datta, Vijaykrishnan Narayanan An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Deng Zhou, Ye Tian, Hong Shen Dynamic Thread Partition Algorithm Based on Sharing Data on CMP. Search on Bibsonomy PDCAT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Betül Demiröz Application mapping and optimization for CMP based architectures (Yongada çoklu-işlemcili mimariler için uygulama haritalaması ve eniyileme) Search on Bibsonomy 2011   RDF
18Felipe Cabarcas Castell: a heterogeneous cmp architecture scalable to hundreds of processors. Search on Bibsonomy 2011   RDF
18Hyungjun Kim, Paul V. Gratz Leveraging Unused Cache Block Words to Reduce Power in CMP Interconnect. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Songliu Guo, Hai-Xia Wang 0001, Yibo Xue, Chong-Min Li, Dong-Sheng Wang 0002 Hierarchical Cache Directory for CMP. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yin Shen, Qiang Zhou 0001, Yici Cai, Xianlong Hong ECP- and CMP-Aware Detailed Routing Algorithm for DFM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Xiongli Gu, Peng Liu 0016, Zhiyuan Xu, Bingjie Xia, Cheng Li, Qingdong Yao, Ce Shi A synergetic operating unit on NoC layer for CMP system. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Ramesh Illikkal, Vineet Chadha, Andrew Herdrich, Ravi R. Iyer 0001, Donald Newell PIRATE: QoS and performance management in CMP architectures. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Harold Ishebabi, Christophe Bobda Heuristics for Flexible CMP Synthesis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multiprocessor systems, parallel programs, Reconfigurable computing
18Yi-Neng Lin, Ying-Dar Lin, Yuan-Cheng Lai Thread allocation in CMP-based multithreaded network processors. Search on Bibsonomy Parallel Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Martti Forsell On the Performance and Cost of Some PRAM Models on CMP Hardware. Search on Bibsonomy Int. J. Found. Comput. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Shantanu Gupta, Amin Ansari, Shuguang Feng, Scott A. Mahlke StageWeb: Interweaving pipeline stages into a wearout and variation tolerant CMP fabric. Search on Bibsonomy DSN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Augusto Vega, Alejandro Rico, Felipe Cabarcas, Alex Ramírez, Mateo Valero Comparing last-level cache designs for CMP architectures. Search on Bibsonomy IFMT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Padmaraj Singh, David L. Landis Test Generation for CMP Designs. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Chengmo Yang, Chun Jason Xue, Alex Orailoglu Fine-grained adaptive CMP cache sharing through access history exploitation. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Antoni Roca 0001, José Flich, Federico Silla, José Duato A Latency-Efficient Router Architecture for CMP Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Pierfrancesco Foglia, Cosimo Antonio Prete, Marco Solinas, Giovanna Monni Re-NUCA: Boosting CMP Performance Through Block Replication. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Grzegorz Deptuch 3DIC multi-project fabrication run being organized by CMC/CMP/MOSIS and Tezzaron. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Dimitris Kaseridis, Jeffrey Stuecheli, Jian Chen 0030, Lizy Kurian John A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large CMP systems. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Xiaowei Jiang, Niti Madan, Li Zhao 0002, Mike Upton, Ravishankar R. Iyer 0001, Srihari Makineni, Donald Newell, Yan Solihin, Rajeev Balasubramonian CHOP: Adaptive filter-based DRAM caching for CMP server platforms. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Chao Wang 0058, Bin Xie 0002, Jiexiang Kang, Tianzhou Chen, Wei Hu 0001, Zhenwei Zheng On-Chip Operating System Design for NoC-Based CMP. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF operating system, network on chip, chip multiprocessor
18Duan Wei, Fan Qi Fei, Huang Kun, Zhang Ge VB-DVFS: A new algorithm for power efficiency of CMP with GALS. Search on Bibsonomy ICECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Hui-Dong Zhu, Ye Li Constant Distance Prefetching for Linked Data Structure Based on CMP. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Linked data structure, multi-core and multi-threading, data prefetching, cache misses, memory wall
18Chongmin Li, Haixia Wang 0001, Yibo Xue, Xi Zhang 0008, Dongsheng Wang 0002 Fast Hierarchical Cache Directory: A Scalable Cache Organization for Large-Scale CMP. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Hierarchical cache directory, Multi-level directory, Chip multiprocessors
18Tingwen Liu, Yong Sun, Li Guo 0001 Fast and Memory-Efficient Traffic Classification with Deep Packet Inspection in CMP Architecture. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Chi Zhang, Xin Yuan 0001, Ashok Srinivasan Processor affinity and MPI performance on SMP-CMP clusters. Search on Bibsonomy IPDPS Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Dongyuan Zhan, Hong Jiang 0001, Sharad C. Seth Exploiting set-level non-uniformity of capacity demand to enhance CMP cooperative caching. Search on Bibsonomy IPDPS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Gaurav Dhiman, Vasileios Kontorinis, Dean M. Tullsen, Tajana Rosing, Eric Saxe, Jonathan Chew Dynamic workload characterization for power efficient scheduling on CMP systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power, multi-cores, workload characterization
18Yunlian Jiang, Eddy Z. Zhang, Xipeng Shen, Yaoqing Gao, Roch Archambault Array Regrouping on CMP with Non-uniform Cache Sharing. Search on Bibsonomy LCPC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Shuai Che, Jeremy W. Sheaffer, Michael Boyer, Lukasz G. Szafaryn, Liang Wang 0055, Kevin Skadron A characterization of the Rodinia benchmark suite with comparison to contemporary CMP workloads. Search on Bibsonomy IISWC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Marek Tudruj, Lukasz Masko A globally-interconnected modular CMP system with communication on the fly. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Tianzhou Chen, Jianliang Ma, Hui Yuan, Jingwei Liu, Guanjun Jiang Function Units Sharing between Neighbor Cores in CMP. Search on Bibsonomy ICA3PP (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Izumi Nitta, Yuji Kanazawa, Daisuke Fukuda, Toshiyuki Shibuya, Naoki Idani, Masaru Ito, Osamu Yamasaki, Norihiro Harada, Takanori Hiramoto "Condition-based" dummy fill insertion method based on ECP and CMP predictive models. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yaniv Ben-Itzhak, Israel Cidon, Avinoam Kolodny Performance and Power Aware CMP Thread Allocation Modeling. Search on Bibsonomy HiPEAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Miquel Moretó Improving cache Behavior in CMP architectures throug cache partitioning techniques. Search on Bibsonomy 2010   RDF
18Omid Azizi, Aqeel Mahesri, Sanjay J. Patel, Mark Horowitz Area-efficiency in CMP core design: co-optimization of microarchitecture and physical design. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Carlos Luque, Miquel Moretó, Francisco J. Cazorla, Roberto Gioiosa, Alper Buyuktosunoglu, Mateo Valero CPU Accounting in CMP Processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Xuan Feng, Motoyuki Sato, Yan Zhang, Cai Liu, Fusheng Shi, Yonghui Zhao CMP Antenna Array GPR and Signal-to-Clutter Ratio Improvement. Search on Bibsonomy IEEE Geosci. Remote. Sens. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang Modeling and Stack Simulation of CMP Cache Capacity and Accessibility. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Xingfu Wu, Valerie E. Taylor, Charles W. Lively, Sameh Sharkawi Performance Analysis and Optimization of Parallel Scientific Applications on CMP Clusters. Search on Bibsonomy Scalable Comput. Pract. Exp. The full citation details ... 2009 DBLP  BibTeX  RDF
18Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Rizos Sakellariou, Mateo Valero FlexDCP: a QoS framework for CMP architectures. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Eryk Laskowski, Lukasz Masko, Marek Tudruj, Miroslaw Thor Program Execution Control in a Multi CMP Module System with a Look-Ahead Configured Global Network. Search on Bibsonomy ISPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Rim Djedidi, Marie-Aude Aufaure Change Management Patterns (CMP) for Ontology Evolution Process. Search on Bibsonomy IWOD@ISWC The full citation details ... 2009 DBLP  BibTeX  RDF
18Naoto Fukumoto, Kenichi Imazato, Koji Inoue, Kazuaki J. Murakami Performance balancing: software-based on-chip memory management for effective CMP executions. Search on Bibsonomy MEDEA@PACT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Tingwen Liu, Yong Sun, Zhibin Zhang, Li Guo 0001 Load Balancing for Flow-Based Parallel Processing Systems in CMP Architecture. Search on Bibsonomy GLOBECOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Long Zheng 0001, Mianxiong Dong, Hai Jin 0001, Minyi Guo, Li Li 0012 An Improved Approach to Tag Reduction on Low Power CMP with Trade-Off of Energy and Performance. Search on Bibsonomy FCST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Huandong Wang, Dan Tang, Xiang Gao, Yunji Chen An Enhanced HyperTransport Controller with Cache Coherence Support for Multiple-CMP. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Daniel Sánchez 0004, Juan L. Aragón, José M. García 0001 Extending SRT for parallel applications in tiled-CMP architectures. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Jacob Machina, Angela C. Sodan Predicting cache needs and cache sensitivity for applications in cloud computing on CMP servers with configurable caches. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Lei Miao 0002, Yong Qi, Di Hou, Chang-li Wu, Yue-hua Dai Energy Saving Task Scheduling for Heterogeneous CMP System Based on Multi-objective Fuzzy Genetic Algorithm. Search on Bibsonomy SMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Fei Cao, Zhiyong Liu Snooping and Ordering Ring - An Efficient Cache Coherence Protocol for Ring Connected CMP. Search on Bibsonomy ICPADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Licheng Xue, Feng Shi Low Overhead Object Communication Scheme in CMP Implementation of Object-Oriented Programming. Search on Bibsonomy ICPADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Zhaoyu Dong, Yinliang Zhao, Yuanke Wei, Xuhao Wang, Shaolong Song Prophet: A Speculative Multi-threading Execution Model with Architectural Support Based on CMP. Search on Bibsonomy ScalCom-EmbeddedCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Guanjun Jiang, Du Chen, Binbin Wu, Yi Zhao, Tianzhou Chen, Jingwei Liu CMP Thread Assignment Based on Group Sharing L2 Cache. Search on Bibsonomy ScalCom-EmbeddedCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Xingfu Wu, Benchun Duan, Valerie E. Taylor An OpenMP Approach to Modeling Dynamic Earthquake Rupture Along Geometrically Complex Faults on CMP Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Eryk Laskowski, Lukasz Masko, Marek Tudruj Multi-CMP Module System Based on a Look-Ahead Configured Global Network. Search on Bibsonomy PPAM (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Ivan Jibaja, Kelly A. Shaw 0001 Understanding the applicability of CMP performance optimizations on data mining applications. Search on Bibsonomy IISWC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Wan-Yu Lee, Iris Hui-Ru Jiang VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, process variation, monte carlo analysis
18Pierfrancesco Foglia, Francesco Panicucci, Cosimo Antonio Prete, Marco Solinas Analysis of Performance Dependencies in NUCA-Based CMP Systems. Search on Bibsonomy SBAC-PAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Song Hao, Zhihui Du, David A. Bader, Yin Ye A Partition-Merge Based Cache-Conscious Parallel Sorting Algorithm for CMP with Shared Cache. Search on Bibsonomy ICPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Marek Tudruj, Lukasz Masko, Miroslaw Thor Globally-Interconnected Modular CMP Systems with Communication on the Fly. Search on Bibsonomy PDPTA The full citation details ... 2009 DBLP  BibTeX  RDF
18Zhimin Gu, Ninghan Zheng, Yi Zhang, Changding Liu, Jie Tang 0003, Yan Huang 0011 The Stable Conditions of a Task-Pair with Helper-Thread in CMP. Search on Bibsonomy PDPTA The full citation details ... 2009 DBLP  BibTeX  RDF
18Muhammad Mukaram Khan Configuring a Massively Parallel CMP system for Real-Time Neural Applications. Search on Bibsonomy 2009   RDF
18Marco Solinas Cache Architectures for Wire-Delay Dominated CMP Systems. Search on Bibsonomy 2009   RDF
18Andrew B. Kahng, Kambiz Samadi CMP Fill Synthesis. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Padma Apparao, Ravi R. Iyer 0001, Don Newell Towards modeling & analysis of consolidated CMP servers. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Isask'har Walter, Israel Cidon, Avinoam Kolodny BENoC: A Bus-Enhanced Network on-Chip for a Power Efficient CMP. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Konstantinos Tatas, Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso, Stephan Wong Rapid Prototyping of the Data-Driven Chip-Multiprocessor (d2-CMP) Using FPGAs. Search on Bibsonomy Parallel Process. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jonathan A. Winter, David H. Albonesi Scheduling algorithms for unpredictably heterogeneous CMP architectures. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato A fault-tolerant directory-based cache coherence protocol for CMP architectures. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18M.-C. Frank Chang, Jason Cong, Adam Kaplan, Chunyue Liu, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, Sai-Wang Tam Power reduction of CMP communication networks via RF-interconnects. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18M. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Glenn Reinman, Eran Socher, Sai-Wang Tam CMP network-on-chip overlaid with multi-band RF-interconnect. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yunlian Jiang, Xipeng Shen Exploration of the Influence of Program Inputs on CMP Co-scheduling. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 Scalable Directory Organization for Tiled CMP Architectures. Search on Bibsonomy CDES The full citation details ... 2008 DBLP  BibTeX  RDF
18Martti Forsell On the performance and cost of some PRAM models on CMP hardware. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Lei Miao 0002, Yong Qi, Di Hou, Yue-hua Dai, Yi Shi A multi-objective hybrid genetic algorithm for energy saving task scheduling in CMP system. Search on Bibsonomy SMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xingfu Wu, Valerie E. Taylor, Charles W. Lively, Sameh Sharkawi Performance Analysis and Optimization of Parallel Scientific Applications on CMP Cluster Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hao Zhang, Yuanqiang Tan, Mingjun Li A Numerical Simulation of Motion of Particles under the Wafer in CMP. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Dimitris Lioupis, Andreas Adamidis, Nikolaos Theoharis Simulating SiScape: A Parallel CMP Architecture. Search on Bibsonomy AHS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Martti Forsell, Jussi Roivainen Performance, Area and Power Trade-Offs in Mesh-based Emulated Shared Memory CMP Architectures. Search on Bibsonomy PDPTA The full citation details ... 2008 DBLP  BibTeX  RDF
18Qing Cheng Li, Liu Yang, Zheng Xuan Bai, Hai Jun Hu Research and Implementation of Screen Printing System Based on Hybrid CMP. Search on Bibsonomy ISCSCT (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Changdao Dong, Qiang Zhou 0001, Yici Cai, Xianlong Hong Wire density driven top-down global placement for CMP variation control. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang CMP cache performance projection: accessibility vs. capacity. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Fei Guo, Hari Kannan, Li Zhao 0002, Ramesh Illikkal, Ravi R. Iyer 0001, Don Newell, Yan Solihin, Christos Kozyrakis From chaos to QoS: case studies in CMP resource management. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Seong-Hee Park, Seong-Hee Lee, Il-Soon Jang, Sangsung Choi, Je-Hoon Lee, Younggap You Isochronous Data Transfer between AV Devices Using Pseudo CMP Protocol in IEEE 1394 over UWB Network. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ravi R. Iyer 0001, Dean M. Tullsen Editorial: Special Section on CMP Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler A NUCA Substrate for Flexible CMP Cache Sharing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiprocessor systems, cache memories, adaptable architectures
18Li Zhao 0002, Ravi R. Iyer 0001, Ramesh Illikkal, Jaideep Moses, Srihari Makineni, Donald Newell CacheScouts: Fine-Grain Monitoring of Shared Caches in CMP Platforms. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Amin M. El-Kustaban, Ahmed H. El-Mahdy, Ossama M. Ismail A CMP with Transactional Memory: Design and Implementation Using FPGA Technology. Search on Bibsonomy IMECS The full citation details ... 2007 DBLP  BibTeX  RDF
18Hongmei Liao, Li Song, Nickhil Jakatdar, Riko Radojcic Integration of CMP Modeling in RC Extraction and Timing Flow. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kumar Shiv, Ravi R. Iyer 0001, Mahesh Bhat, Ramesh Illikkal, Michael Jones, Srihari Makineni, Jason Domer, Donald Newell Addressing Cache/Memory Overheads in Enterprise Java CMP Servers. Search on Bibsonomy IISWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Robert F. Molyneaux, Thomas A. Ziaja, Hong Kim, Shahryar Aryani, Sungbae Hwang, Alex Hsieh Design for testability features of the SUN microsystems niagara2 CMP/CMT SPARC chip. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license