Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Jerry Backer, David Hély, Ramesh Karri |
Secure design-for-debug for Systems-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2015 IEEE International Test Conference, ITC 2015, Anaheim, CA, USA, October 6-8, 2015, pp. 1-8, 2015, IEEE, 978-1-4673-6578-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | David Lin, Eshan Singh, Clark W. Barrett, Subhasish Mitra |
A structured approach to post-silicon validation and debug using symbolic quick error detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2015 IEEE International Test Conference, ITC 2015, Anaheim, CA, USA, October 6-8, 2015, pp. 1-10, 2015, IEEE, 978-1-4673-6578-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Zdravko Panjkov, Andreas Wasserbauer, Timm Ostermann, Richard Hagelauer |
Automatic debug circuit for FPGA rapid prototyping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SISY ![In: 13th IEEE International Symposium on Intelligent Systems and Informatics, SISY 2015, Subotica, Serbia, September 17-19, 2015, pp. 155-160, 2015, IEEE, 978-1-4673-9388-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Nishit Gupta, Sunil Alag |
Unified approach for Performance Evaluation and Debug of System on Chip at early design phase. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IC3 ![In: Eighth International Conference on Contemporary Computing, IC3 2015, Noida, India, August 20-22, 2015, pp. 410-415, 2015, IEEE Computer Society, 978-1-4673-7947-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Prabhat Mishra 0001, Swarup Bhunia, Srivaths Ravi 0001 |
Tutorial T2: Validation and Debug of Security and Trust Issues in Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015, pp. 3-5, 2015, IEEE Computer Society, 978-1-4799-6658-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Baris Kasikci, Cristiano Pereira, Gilles Pokam, Benjamin Schubert, Madanlal Musuvathi, George Candea |
Failure Sketches: A Better Way to Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotOS ![In: 15th Workshop on Hot Topics in Operating Systems, HotOS XV, Kartause Ittingen, Switzerland, May 18-20, 2015, 2015, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
16 | Jinyong Lee, Ingoo Heo, Yongje Lee, Yunheung Paek |
Efficient dynamic information flow tracking on a processor with core debug interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 79:1-79:6, 2015, ACM, 978-1-4503-3520-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Keith A. Campbell, David Lin, Subhasish Mitra, Deming Chen |
Hybrid quick error detection (H-QED): accelerator validation and debug using high-level synthesis principles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 53:1-53:6, 2015, ACM, 978-1-4503-3520-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Vladimir Todorov |
On application-specific network-on-chip synthesis and on-chip trace and debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
16 | Ethan Blanton, Demian Lessa, Puneet Arora, Lukasz Ziarek, Bharat Jayaraman |
JI.FI: Visual test and debug queries for hard real-time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 26(14), pp. 2456-2487, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Esther Guerra, Juan de Lara |
Colouring: execution, debug and analysis of QVT-relations transformations through coloured Petri nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Syst. Model. ![In: Softw. Syst. Model. 13(4), pp. 1447-1472, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Eddie Hung, Steven J. E. Wilton |
Incremental Trace-Buffer Insertion for FPGA Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(4), pp. 850-863, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Martin Monperrus, Anthony Maia, Romain Rouvoy, Lionel Seinturier |
Debugging with the Crowd: A Debug Recommendation System Based on StackOverflow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ERCIM News ![In: ERCIM News 2014(99), 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Min Li, Azadeh Davoodi |
A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(7), pp. 1081-1094, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Rawan Abdel-Khalek, Valeria Bertacco |
Post-silicon platform for the functional diagnosis and debug of networks-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(3s), pp. 112:1-112:25, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Eddie Hung, Steven J. E. Wilton |
Accelerating FPGA debug: Increasing visibility using a runtime reconfigurable observation and triggering network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 19(2), pp. 14:1-14:23, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Saeed Parsa, Mojtaba Vahidi-Asl, Maryam Asadi-Aghbolaghi |
Hierarchy-Debug: a scalable statistical technique for fault localization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Qual. J. ![In: Softw. Qual. J. 22(3), pp. 427-466, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Rawan Abdel-Khalek, Valeria Bertacco |
DiAMOND: Distributed alteration of messages for on-chip network debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Eighth IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014, pp. 127-134, 2014, IEEE, 978-1-4799-5347-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Zonglin Guo, Ram Bhakta, Ian G. Harris |
Control-flow checking for intrusion detection via a real-time debug interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMARTCOMP Workshops ![In: International Conference on Smart Computing, SMARTCOMP Workshops 2014, Hong Kong, November 5, 2014, pp. 87-92, 2014, IEEE Computer Society, 978-1-4799-6447-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mehdi Dehbashi, Görschwin Fey |
Transaction-Based Online Debug for NoC-Based Multiprocessor SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2014, Torino, Italy, February 12-14, 2014, pp. 400-404, 2014, IEEE Computer Society, 978-1-4799-2729-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Daniel Hansson |
Continuous Linting with Automatic Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 15th International Microprocessor Test and Verification Workshop, MTV 2014, Austin, TX, USA, December 15-16, 2014, pp. 70-72, 2014, IEEE Computer Society, 978-1-4673-6858-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mrugesh Walimbe |
JTAG-AXI Debug IP with Performance Meter Mode. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 15th International Microprocessor Test and Verification Workshop, MTV 2014, Austin, TX, USA, December 15-16, 2014, pp. 67-69, 2014, IEEE Computer Society, 978-1-4673-6858-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Prateek Thakyal, Prabhat Mishra 0001 |
Layout-Aware Selection of Trace Signals for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2014, Tampa, FL, USA, July 9-11, 2014, pp. 326-331, 2014, IEEE Computer Society, 978-1-4799-3763-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Chia-Yi Lee, Tai-Hung Li, Tai-Chen Chen |
Design-for-debug routing for FIB probing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-4, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Oshri Adler, Eli Arbel, Ilia Averbouch, Ilan Beer, Inna Grijnevitch |
Facilitating timing debug by logic path correspondence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Min Li, Azadeh Davoodi |
Multi-mode trace signal selection for post-silicon debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014, pp. 640-645, 2014, IEEE, 978-1-4799-2816-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | David Lin, Subhasish Mitra |
QED post-silicon validation and debug: Frequently asked questions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014, pp. 478-482, 2014, IEEE, 978-1-4799-2816-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yun Cheng, Huawei Li 0001, Xiaowei Li 0001 |
An On-Line Timing Error Detection Method for Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 23rd IEEE Asian Test Symposium, ATS 2014, Hangzhou, China, November 16-19, 2014, pp. 263-268, 2014, IEEE Computer Society, 978-1-4799-6030-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Jeffrey B. Goeders, Steven J. E. Wilton |
Effective FPGA debug for high-level synthesis generated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 24th International Conference on Field Programmable Logic and Applications, FPL 2014, Munich, Germany, 2-4 September, 2014, pp. 1-8, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Fatemeh Eslami, Steven J. E. Wilton |
Incremental distributed trigger insertion for efficient FPGA debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 24th International Conference on Field Programmable Logic and Applications, FPL 2014, Munich, Germany, 2-4 September, 2014, pp. 1-4, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Joshua S. Monson, Brad L. Hutchings |
New approaches for in-system debug of behaviorally-synthesized FPGA circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 24th International Conference on Field Programmable Logic and Applications, FPL 2014, Munich, Germany, 2-4 September, 2014, pp. 1-6, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Eddie Hung, Jeffrey B. Goeders, Steven J. E. Wilton |
Faster FPGA Debug: Efficiently Coupling Trace Instruments with User Circuitry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools, and Applications - 10th International Symposium, ARC 2014, Vilamoura, Portugal, April 14-16, 2014. Proceedings, pp. 73-84, 2014, Springer, 978-3-319-05959-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Kuo-Kai Hsieh, Wen Chen 0016, Li-C. Wang, Jayanta Bhadra |
On application of data mining in functional debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3-6, 2014, pp. 670-675, 2014, IEEE, 978-1-4799-6277-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yunyun Jiang, Yi Yang 0033, Tian Xiao, Tianwei Sheng, Wenguang Chen |
Kernel data race detection using debug register in Linux. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COOL Chips ![In: 2014 IEEE Symposium on Low-Power and High-Speed Chips, COOL Chips XVII, Yokohama, Japan, April 14-16, 2014, pp. 1-3, 2014, IEEE Computer Society, 978-1-4799-3810-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | M. De Carvalho, Davide Sabena, Matteo Sonza Reorda, Luca Sterpone, Paolo Rech, Luigi Carro |
Fault injection in GPGPU cores to validate and debug robust parallel applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2014 IEEE 20th International On-Line Testing Symposium, IOLTS 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014, pp. 210-211, 2014, IEEE, 978-1-4799-5323-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Vasant Easwaran, Virendra Bansal, Greg Shurtz, Rahul Gulati, Mihir N. Mody, Prashant Karandikar, Prithvi Shankar |
A unique non-intrusive approach to non-ATE Based cul-de-sac SoC debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: 27th IEEE International System-on-Chip Conference, SOCC 2014, Las Vegas, NV, USA, September 2-5, 2014, pp. 336-339, 2014, IEEE, 978-1-4799-3378-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Chandru Mirchandani |
Cloud Computing as a Debug Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Complex Adaptive Systems ![In: Proceedings of the Complex Adaptive Systems 2014 Conference - Conquering Complexity: Challenges and Opportunities, Philadelphia, PA, USA, November 3-5, 2014, pp. 359-366, 2014, Elsevier. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Sabyasachi Deyati, Barry John Muldrey, Aritra Banerjee, Abhijit Chatterjee |
Atomic model learning: A machine learning paradigm for post silicon debug of RF/analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 32nd IEEE VLSI Test Symposium, VTS 2014, Napa, CA, USA, April 13-17, 2014, pp. 1-6, 2014, IEEE Computer Society, 978-1-4799-2611-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Alexander Elyasov, Wishnu Prasetya, Jurriaan Hage, Andreas Nikas |
Reduce first, debug later. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AST ![In: 9th International Workshop on Automation of Software Test, AST 2014, Hyderabad, India, May 31 - June 1, 2014, pp. 57-63, 2014, ACM, 978-1-4503-2858-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Bruce Querbach, Rahul Khanna, David Blankenbeckler, Yulan Zhang, Ronald T. Anderson, David G. Ellis, Zale T. Schoenborn, Sabyasachi Deyati, Patrick Chiang 0001 |
A reusable BIST with software assisted repair technology for improved memory and IO debug, validation and test time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Sergej Deutsch, Krishnendu Chakrabarty |
Massive signal tracing using on-chip DRAM for in-system silicon debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | David Lin, Subhasish Mitra |
QED post-silicon validation and debug: Invited abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISIC ![In: 2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014, pp. 62, 2014, IEEE, 978-1-4799-4833-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mehdi Dehbashi, Görschwin Fey |
Debug Automation for Synchronization Bugs at RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 2014 27th International Conference on VLSI Design, VLSID 2014, and 2014 13th International Conference on Embedded Systems, Mumbai, India, January 5-9, 2014, pp. 44-49, 2014, IEEE Computer Society, 978-1-4799-2513-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Padraig Fogarty, Ciaran MacNamee, Donal Heffernan |
On-chip support for software verification and debug in multi-core embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Softw. ![In: IET Softw. 7(1), pp. 56-64, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Domenico Cotroneo, Roberto Pietrantuono, Stefano Russo 0001 |
Combining Operational and Debug Testing for Improving Reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 62(2), pp. 408-423, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Joon-Sung Yang, Nur A. Touba |
Improved Trace Buffer Observation via Selective Data Capture Using 2-D Compaction for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(2), pp. 320-328, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Eddie Hung, Steven J. E. Wilton |
Scalable Signal Selection for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(6), pp. 1103-1115, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Jaan Raik, Urmas Repinski, Anton Chepurov, Hanno Hantson, Raimund Ubar, Maksim Jenihhin |
Automated design error debug using high-level decision diagrams and mutation operators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 37(4-5), pp. 505-513, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Joseph Lawrance, Christopher Bogart, Margaret M. Burnett, Rachel K. E. Bellamy, Kyle Rector, Scott D. Fleming |
How Programmers Debug, Revisited: An Information Foraging Theory Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 39(2), pp. 197-215, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Jooyong Yi |
A Case for Dynamic Reverse-code Generation to Debug Non-deterministic Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Festschrift for Dave Schmidt ![In: Semantics, Abstract Interpretation, and Reasoning about Programs: Essays Dedicated to David A. Schmidt on the Occasion of his Sixtieth Birthday, Manhattan, Kansas, USA, 19-20th September 2013., pp. 419-428, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Mehdi Dehbashi, Görschwin Fey |
Debug Automation for Logic Circuits Under Timing Variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 30(6), pp. 60-69, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Arie Margulis, David Akselrod, Eric Rentschler, Mike Ricchetti |
Evolution of Graphics Northbridge Test and Debug Architectures Across Four Generations of AMD ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 30(4), pp. 16-25, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Erika Check Hayden |
Mozilla plan seeks to debug scientific code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Nat. ![In: Nat. 501(7468), pp. 472, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Kanad Basu, Prabhat Mishra 0001, Priyadarsan Patra, Amir Nahir, Allon Adir |
Dynamic Selection of Trace Signals for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 14th International Workshop on Microprocessor Test and Verification, MTV 2013, Austin, TX, USA, December 11-13, 2013, pp. 62-67, 2013, IEEE Computer Society, 978-1-4799-3246-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Daniel Hansson, Heli Uronen-Hansson |
Measuring the Gain of Automatic Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 14th International Workshop on Microprocessor Test and Verification, MTV 2013, Austin, TX, USA, December 11-13, 2013, pp. 19-22, 2013, IEEE Computer Society, 978-1-4799-3246-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Jannis Stoppe, Robert Wille, Rolf Drechsler |
Data extraction from SystemC designs using debug symbols and the SystemC API. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2013, Natal, Brazil, August 5-7, 2013, pp. 26-31, 2013, IEEE Computer Socity, 978-1-4799-1331-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Min Li, Azadeh Davoodi |
A hybrid approach for fast and accurate trace signal selection for post-silicon debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013, pp. 485-490, 2013, EDA Consortium San Jose, CA, USA / ACM DL, 978-1-4503-2153-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Toyoshiro Nakashima, Kazunori Iwata 0001, Yoshiyuki Anan, Naohiro Ishii |
Analyzing relationship between the number of errors in review and debug processes for embedded software development projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIS ![In: 2013 IEEE/ACIS 12th International Conference on Computer and Information Science, ICIS 2013, Niigata, Japan, June 16-20, 2013, pp. 405-409, 2013, IEEE Computer Society, 978-1-4799-0174-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Eitan Farchi, Itai Segall, Rachel Tzoref-Brill |
Using Projections to Debug Large Combinatorial Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST Workshops ![In: Sixth IEEE International Conference on Software Testing, Verification and Validation, ICST 2013 Workshops Proceedings, Luxembourg, Luxembourg, March 18-22, 2013, pp. 311-320, 2013, IEEE Computer Society, 978-1-4799-1324-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Catherine Roussey, Ondrej Zamazal |
Antipattern detection: how to debug an ontology without a reasoner. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WoDOOM ![In: Proceedings of the Second International Workshop on Debugging Ontologies and Ontology Mappings, Montpellier, France, May 27, 2013, pp. 45-56, 2013, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | John Giacobbe |
Physical design for debug: insurance policy for IC's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013, pp. 122, 2013, ACM, 978-1-4503-1954-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | John Burger, Matthew Burdett, Jeffrey Hall |
Sipping from the Firehose: How to "Grok" Gigabytes of Trace Debug Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE ![In: 16th IEEE International Conference on Computational Science and Engineering, CSE 2013, December 3-5, 2013, Sydney, Australia, pp. 730-733, 2013, IEEE Computer Society, 978-0-7695-5096-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Mike Peng Li, Takahiro J. Yamaguchi |
Electrical and photonic I/O test and debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, CICC 2013, San Jose, CA, USA, September 22-25, 2013, pp. 1-2, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Eddie Hung, Al-Shahna Jamal, Steven J. E. Wilton |
Maximum flow algorithms for maximum observability during FPGA debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: 2013 International Conference on Field-Programmable Technology, FPT 2013, Kyoto, Japan, December 9-11, 2013, pp. 20-27, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Eric Hennigan, Christoph Kerschbaumer, Stefan Brunthaler 0001, Per Larsen, Michael Franz |
First-Class Labels: Using Information Flow to Debug Security Holes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TRUST ![In: Trust and Trustworthy Computing - 6th International Conference, TRUST 2013, London, UK, June 17-19, 2013. Proceedings, pp. 151-168, 2013, Springer, 978-3-642-38907-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Christian Boit, Clemens Helfmeier, Uwe Kerst |
Security Risks Posed by Modern IC Debug and Diagnosis Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDTC ![In: 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography, Los Alamitos, CA, USA, August 20, 2013, pp. 3-11, 2013, IEEE Computer Society, 978-0-7695-5059-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Bao Le, Dipanjan Sengupta, Andreas G. Veneris, Zissis Poulos |
Accelerating post silicon debug of deep electrical faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013, pp. 61-66, 2013, IEEE, 978-1-4799-0662-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Boyang Du, Matteo Sonza Reorda, Luca Sterpone, Luis Parra, Marta Portela-García, Almudena Lindoso, Luis Entrena |
Exploiting the debug interface to support on-line test of control flow errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013, pp. 98-103, 2013, IEEE, 978-1-4799-0662-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Saghir A. Shaikh |
Innovative practices session 3C: Harnessing the challenges of testability and debug of high speed I/Os. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 31st IEEE VLSI Test Symposium, VTS 2013, Berkeley, CA, USA, April 29 - May 2, 2013, pp. 1, 2013, IEEE Computer Society, 978-1-4673-5542-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Bill Penner |
Multi-processor debug in SoC and processor designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-DAT ![In: 2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013, Hsinchu, Taiwan, April 22-24, 2013, pp. 1, 2013, IEEE, 978-1-4673-4435-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Sandeep Kumar Goel, Saman Adham, Min-Jer Wang, Ji-Jan Chen, Tze-Chiang Huang, Ashok Mehta, Frank Lee, Vivek Chickermane, Brion L. Keller, Thomas Valind, Subhasish Mukherjee, Navdeep Sood, Jeongho Cho, Hayden Hyungdong Lee, Jungi Choi, Sangdoo Kim |
Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013, pp. 1-10, 2013, IEEE Computer Society, 978-1-4799-0859-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Dragos Ionescu, Rean Griffith |
The wisdom of virtual crowds: mining datacenter telemetry to collaboratively debug performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: ACM Symposium on Cloud Computing, SOCC '13, Santa Clara, CA, USA, October 1-3, 2013, pp. 33:1-33:2, 2013, ACM, 978-1-4503-2428-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Justin Emile Gottschlich, Rob C. Knauerhase, Gilles Pokam |
But How Do We Really Debug Transactional Memory Programs? ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotPar ![In: 5th USENIX Workshop on Hot Topics in Parallelism, HotPar'13, San Jose, CA, USA, June 24-25, 2013, 2013, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | Prabhat Mishra 0001, Masahiro Fujita, Virendra Singh, Nagesh Tamarapalli, Sharad Kumar, Rajesh Mittal |
Tutorial T10: Post - Silicon Validation, Debug and Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013, 2013, IEEE Computer Society, 978-1-4673-4639-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Nikos Foutris, Dimitris Gizopoulos, Xavier Vera, Antonio González 0001 |
Deconfigurable microprocessor architectures for silicon debug acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013, pp. 631-642, 2013, ACM, 978-1-4503-2079-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Mehdi Dehbashi |
Debug automation from pre-silicon to post-silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
16 | Emilio Serrano, Andrés Muñoz 0001, Juan A. Botía |
An approach to debug interactions in multi-agent system software tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Sci. ![In: Inf. Sci. 205, pp. 38-57, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici |
Automating Data Analysis and Acquisition Setup in a Silicon Debug Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 20(6), pp. 1118-1131, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Marcel Gort, Flavio M. de Paula, Johnny J. W. Kuan, Tor M. Aamodt, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 |
Formal-Analysis-Based Trace Computation for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 20(11), pp. 1997-2010, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Aaron Spear, Markus Levy, Mathieu Desnoyers |
Using Tracing to Solve the Multicore System Debug Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 45(12), pp. 60-64, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Marta Portela-García, Michelangelo Grosso, M. Gallardo-Campos, Matteo Sonza Reorda, Luis Entrena, Mario García-Valderas, Celia López-Ongil |
On the use of embedded debug features for permanent and transient fault resilience in microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 36(5), pp. 334-343, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Joon-Sung Yang, Nur A. Touba |
Efficient Trace Signal Selection for Silicon Debug by Error Transmission Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(3), pp. 442-446, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Tsung-Yen Tsai, Sadok Aouini, Gordon W. Roberts |
High Speed On-Chip Signal Generation for Debug and Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 28(5), pp. 625-640, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Mohammad Hossein Neishaburi, Zeljko Zilic |
An infrastructure for debug using clusters of assertion-checkers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 52(11), pp. 2781-2798, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Jing Zeng, Ruifeng Guo, Wu-Tung Cheng, Michael Mateja, Jing Wang |
Scan-Based Speed-Path Debug for a Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 29(4), pp. 92-99, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Flavio M. de Paula, Alan J. Hu, Amir Nahir |
nuTAB-BackSpace: Rewriting to Normalize Non-determinism in Post-silicon Debug Traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification - 24th International Conference, CAV 2012, Berkeley, CA, USA, July 7-13, 2012 Proceedings, pp. 513-531, 2012, Springer, 978-3-642-31423-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Johnny J. W. Kuan, Tor M. Aamodt |
Progressive-BackSpace: Efficient Predecessor Computation for Post-Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 13th International Workshop on Microprocessor Test and Verification, MTV 2012, Austin, TX, USA, December 10-13, 2012, pp. 70-75, 2012, IEEE Computer Society, 978-1-4673-4441-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Tomasz Boleslaw Cedro, Marcin Kuzia, Antoni Grzanka |
LibSWD - Serial Wire Debug Open Framework for Low - Level Embedded Systems Access . ![Search on Bibsonomy](Pics/bibsonomy.png) |
FedCSIS ![In: Federated Conference on Computer Science and Information Systems - FedCSIS 2012, Wroclaw, Poland, 9-12 September 2012, Proceedings, pp. 615-620, 2012, 978-83-60810-51-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
16 | Zissis Poulos, Yu-Shen Yang, Jason Helge Anderson, Andreas G. Veneris, Bao Le |
Leveraging reconfigurability to raise productivity in FPGA functional debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012, pp. 292-295, 2012, IEEE, 978-1-4577-2145-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Xiaoquan Wu, Jun Wei, Xu Wang |
Debug Concurrent Programs with Visualization and Inference of Event Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 19th Asia-Pacific Software Engineering Conference, APSEC 2012, Hong Kong, China, December 4-7, 2012, pp. 683-692, 2012, IEEE, 978-0-7695-4922-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici, Masahiro Fujita |
Automated data analysis techniques for a modern silicon debug environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012, pp. 298-303, 2012, IEEE, 978-1-4673-0770-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Bijan Alizadeh |
A formal approach to debug polynomial datapath designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012, pp. 683-688, 2012, IEEE, 978-1-4673-0770-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Eddie Hung, Steven J. E. Wilton |
Limitations of incremental signal-tracing for FPGA debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, August 29-31, 2012, pp. 49-56, 2012, IEEE, 978-1-4673-2257-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Thiago Pinheiro de Araújo, Carla Wanderley, Arndt von Staa |
An Introspection Mechanism to Debug Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBES ![In: 26th Brazilian Symposium on Software Engineering, SBES 2012, Natal, Brazil, September 23-28, 2012, pp. 21-30, 2012, IEEE Computer Society, 978-1-4673-4472-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Vladimir Todorov, Alberto Ghiribaldi, Helmut Reinig, Davide Bertozzi, Ulf Schlichtmann |
Non-intrusive trace & debug noc architecture with accurate timestamping for GALS SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012, pp. 181-186, 2012, ACM, 978-1-4503-1426-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Rawan Abdel-Khalek, Valeria Bertacco |
Functional post-silicon diagnosis and debug for networks-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012, pp. 557-563, 2012, ACM, 978-1-4577-1398-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Somnath Banerjee 0003, Tushar Gupta |
Fast and scalable hybrid functional verification and debug with dynamically reconfigurable co-simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012, pp. 115-122, 2012, ACM, 978-1-4577-1398-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Ethan Blanton, Demian Lessa, Lukasz Ziarek, Bharat Jayaraman |
Ji.Fi: visual test and debug queries for hard real-time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JTRES ![In: The 10th International Workshop on Java Technologies for Real-time and Embedded Systems, JTRES '12, Copenhagen, Denmark, October 24-26, 2012, pp. 155-164, 2012, ACM, 978-1-4503-1688-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Mike Li, Manoj Sachdev |
Power delivery: Droop, jitter, test and debug story (Tutorial). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012, San Jose, CA, USA, September 9-12, 2012, pp. 1, 2012, IEEE, 978-1-4673-1555-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Derrell Lipman |
LIBERATED: A Fully In-Browser Client and Server Web Application Debug and Test Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WebApps ![In: 3rd USENIX Conference on Web Application Development, WebApps'12, Boston, MA, USA, June 13, 2012, pp. 89-94, 2012, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|