The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DEBUG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1984 (15) 1985-1989 (20) 1990 (21) 1991-1992 (21) 1993 (21) 1994 (16) 1995-1996 (20) 1997-1998 (29) 1999 (30) 2000 (21) 2001 (31) 2002 (39) 2003 (48) 2004 (63) 2005 (52) 2006 (77) 2007 (105) 2008 (95) 2009 (65) 2010 (42) 2011 (38) 2012 (32) 2013 (33) 2014 (34) 2015 (39) 2016 (30) 2017 (26) 2018 (22) 2019 (24) 2020 (26) 2021 (21) 2022 (31) 2023-2024 (19)
Publication types (Num. hits)
article(226) incollection(2) inproceedings(970) phdthesis(8)
Venues (Conferences, Journals, ...)
ITC(59) DAC(35) DATE(35) CoRR(28) VTS(28) IEEE Des. Test Comput.(26) FPL(20) MTV(16) IEEE Trans. Very Large Scale I...(15) ISQED(15) SIGCSE(14) ETS(13) FPT(13) IEEE Trans. Software Eng.(12) IEEE Trans. Comput. Aided Des....(11) ISSTA(11) More (+10 of total 475)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 883 occurrences of 557 keywords

Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Jerry Backer, David Hély, Ramesh Karri Secure design-for-debug for Systems-on-Chip. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16David Lin, Eshan Singh, Clark W. Barrett, Subhasish Mitra A structured approach to post-silicon validation and debug using symbolic quick error detection. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zdravko Panjkov, Andreas Wasserbauer, Timm Ostermann, Richard Hagelauer Automatic debug circuit for FPGA rapid prototyping. Search on Bibsonomy SISY The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Nishit Gupta, Sunil Alag Unified approach for Performance Evaluation and Debug of System on Chip at early design phase. Search on Bibsonomy IC3 The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Swarup Bhunia, Srivaths Ravi 0001 Tutorial T2: Validation and Debug of Security and Trust Issues in Embedded Systems. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Baris Kasikci, Cristiano Pereira, Gilles Pokam, Benjamin Schubert, Madanlal Musuvathi, George Candea Failure Sketches: A Better Way to Debug. Search on Bibsonomy HotOS The full citation details ... 2015 DBLP  BibTeX  RDF
16Jinyong Lee, Ingoo Heo, Yongje Lee, Yunheung Paek Efficient dynamic information flow tracking on a processor with core debug interface. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Keith A. Campbell, David Lin, Subhasish Mitra, Deming Chen Hybrid quick error detection (H-QED): accelerator validation and debug using high-level synthesis principles. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Vladimir Todorov On application-specific network-on-chip synthesis and on-chip trace and debug. Search on Bibsonomy 2015   RDF
16Ethan Blanton, Demian Lessa, Puneet Arora, Lukasz Ziarek, Bharat Jayaraman JI.FI: Visual test and debug queries for hard real-time. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Esther Guerra, Juan de Lara Colouring: execution, debug and analysis of QVT-relations transformations through coloured Petri nets. Search on Bibsonomy Softw. Syst. Model. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Steven J. E. Wilton Incremental Trace-Buffer Insertion for FPGA Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Martin Monperrus, Anthony Maia, Romain Rouvoy, Lionel Seinturier Debugging with the Crowd: A Debug Recommendation System Based on StackOverflow. Search on Bibsonomy ERCIM News The full citation details ... 2014 DBLP  BibTeX  RDF
16Min Li, Azadeh Davoodi A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Rawan Abdel-Khalek, Valeria Bertacco Post-silicon platform for the functional diagnosis and debug of networks-on-chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Steven J. E. Wilton Accelerating FPGA debug: Increasing visibility using a runtime reconfigurable observation and triggering network. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Saeed Parsa, Mojtaba Vahidi-Asl, Maryam Asadi-Aghbolaghi Hierarchy-Debug: a scalable statistical technique for fault localization. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Rawan Abdel-Khalek, Valeria Bertacco DiAMOND: Distributed alteration of messages for on-chip network debug. Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Zonglin Guo, Ram Bhakta, Ian G. Harris Control-flow checking for intrusion detection via a real-time debug interface. Search on Bibsonomy SMARTCOMP Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mehdi Dehbashi, Görschwin Fey Transaction-Based Online Debug for NoC-Based Multiprocessor SoCs. Search on Bibsonomy PDP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Daniel Hansson Continuous Linting with Automatic Debug. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mrugesh Walimbe JTAG-AXI Debug IP with Performance Meter Mode. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Prateek Thakyal, Prabhat Mishra 0001 Layout-Aware Selection of Trace Signals for Post-Silicon Debug. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Chia-Yi Lee, Tai-Hung Li, Tai-Chen Chen Design-for-debug routing for FIB probing. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Oshri Adler, Eli Arbel, Ilia Averbouch, Ilan Beer, Inna Grijnevitch Facilitating timing debug by logic path correspondence. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Min Li, Azadeh Davoodi Multi-mode trace signal selection for post-silicon debug. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16David Lin, Subhasish Mitra QED post-silicon validation and debug: Frequently asked questions. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yun Cheng, Huawei Li 0001, Xiaowei Li 0001 An On-Line Timing Error Detection Method for Silicon Debug. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jeffrey B. Goeders, Steven J. E. Wilton Effective FPGA debug for high-level synthesis generated circuits. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Fatemeh Eslami, Steven J. E. Wilton Incremental distributed trigger insertion for efficient FPGA debug. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Joshua S. Monson, Brad L. Hutchings New approaches for in-system debug of behaviorally-synthesized FPGA circuits. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Jeffrey B. Goeders, Steven J. E. Wilton Faster FPGA Debug: Efficiently Coupling Trace Instruments with User Circuitry. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Kuo-Kai Hsieh, Wen Chen 0016, Li-C. Wang, Jayanta Bhadra On application of data mining in functional debug. Search on Bibsonomy ICCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yunyun Jiang, Yi Yang 0033, Tian Xiao, Tianwei Sheng, Wenguang Chen Kernel data race detection using debug register in Linux. Search on Bibsonomy COOL Chips The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16M. De Carvalho, Davide Sabena, Matteo Sonza Reorda, Luca Sterpone, Paolo Rech, Luigi Carro Fault injection in GPGPU cores to validate and debug robust parallel applications. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Vasant Easwaran, Virendra Bansal, Greg Shurtz, Rahul Gulati, Mihir N. Mody, Prashant Karandikar, Prithvi Shankar A unique non-intrusive approach to non-ATE Based cul-de-sac SoC debug. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Chandru Mirchandani Cloud Computing as a Debug Tool. Search on Bibsonomy Complex Adaptive Systems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Sabyasachi Deyati, Barry John Muldrey, Aritra Banerjee, Abhijit Chatterjee Atomic model learning: A machine learning paradigm for post silicon debug of RF/analog circuits. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Alexander Elyasov, Wishnu Prasetya, Jurriaan Hage, Andreas Nikas Reduce first, debug later. Search on Bibsonomy AST The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Bruce Querbach, Rahul Khanna, David Blankenbeckler, Yulan Zhang, Ronald T. Anderson, David G. Ellis, Zale T. Schoenborn, Sabyasachi Deyati, Patrick Chiang 0001 A reusable BIST with software assisted repair technology for improved memory and IO debug, validation and test time. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Sergej Deutsch, Krishnendu Chakrabarty Massive signal tracing using on-chip DRAM for in-system silicon debug. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16David Lin, Subhasish Mitra QED post-silicon validation and debug: Invited abstract. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mehdi Dehbashi, Görschwin Fey Debug Automation for Synchronization Bugs at RTL. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Padraig Fogarty, Ciaran MacNamee, Donal Heffernan On-chip support for software verification and debug in multi-core embedded systems. Search on Bibsonomy IET Softw. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Domenico Cotroneo, Roberto Pietrantuono, Stefano Russo 0001 Combining Operational and Debug Testing for Improving Reliability. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Joon-Sung Yang, Nur A. Touba Improved Trace Buffer Observation via Selective Data Capture Using 2-D Compaction for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Steven J. E. Wilton Scalable Signal Selection for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jaan Raik, Urmas Repinski, Anton Chepurov, Hanno Hantson, Raimund Ubar, Maksim Jenihhin Automated design error debug using high-level decision diagrams and mutation operators. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Joseph Lawrance, Christopher Bogart, Margaret M. Burnett, Rachel K. E. Bellamy, Kyle Rector, Scott D. Fleming How Programmers Debug, Revisited: An Information Foraging Theory Perspective. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jooyong Yi A Case for Dynamic Reverse-code Generation to Debug Non-deterministic Programs. Search on Bibsonomy Festschrift for Dave Schmidt The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Mehdi Dehbashi, Görschwin Fey Debug Automation for Logic Circuits Under Timing Variations. Search on Bibsonomy IEEE Des. Test The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Arie Margulis, David Akselrod, Eric Rentschler, Mike Ricchetti Evolution of Graphics Northbridge Test and Debug Architectures Across Four Generations of AMD ASICs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Erika Check Hayden Mozilla plan seeks to debug scientific code. Search on Bibsonomy Nat. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Kanad Basu, Prabhat Mishra 0001, Priyadarsan Patra, Amir Nahir, Allon Adir Dynamic Selection of Trace Signals for Post-Silicon Debug. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Daniel Hansson, Heli Uronen-Hansson Measuring the Gain of Automatic Debug. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jannis Stoppe, Robert Wille, Rolf Drechsler Data extraction from SystemC designs using debug symbols and the SystemC API. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Min Li, Azadeh Davoodi A hybrid approach for fast and accurate trace signal selection for post-silicon debug. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Toyoshiro Nakashima, Kazunori Iwata 0001, Yoshiyuki Anan, Naohiro Ishii Analyzing relationship between the number of errors in review and debug processes for embedded software development projects. Search on Bibsonomy ICIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Eitan Farchi, Itai Segall, Rachel Tzoref-Brill Using Projections to Debug Large Combinatorial Models. Search on Bibsonomy ICST Workshops The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Catherine Roussey, Ondrej Zamazal Antipattern detection: how to debug an ontology without a reasoner. Search on Bibsonomy WoDOOM The full citation details ... 2013 DBLP  BibTeX  RDF
16John Giacobbe Physical design for debug: insurance policy for IC's. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16John Burger, Matthew Burdett, Jeffrey Hall Sipping from the Firehose: How to "Grok" Gigabytes of Trace Debug Data. Search on Bibsonomy CSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Mike Peng Li, Takahiro J. Yamaguchi Electrical and photonic I/O test and debug. Search on Bibsonomy CICC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Al-Shahna Jamal, Steven J. E. Wilton Maximum flow algorithms for maximum observability during FPGA debug. Search on Bibsonomy FPT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Eric Hennigan, Christoph Kerschbaumer, Stefan Brunthaler 0001, Per Larsen, Michael Franz First-Class Labels: Using Information Flow to Debug Security Holes. Search on Bibsonomy TRUST The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Christian Boit, Clemens Helfmeier, Uwe Kerst Security Risks Posed by Modern IC Debug and Diagnosis Tools. Search on Bibsonomy FDTC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bao Le, Dipanjan Sengupta, Andreas G. Veneris, Zissis Poulos Accelerating post silicon debug of deep electrical faults. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Boyang Du, Matteo Sonza Reorda, Luca Sterpone, Luis Parra, Marta Portela-García, Almudena Lindoso, Luis Entrena Exploiting the debug interface to support on-line test of control flow errors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Saghir A. Shaikh Innovative practices session 3C: Harnessing the challenges of testability and debug of high speed I/Os. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bill Penner Multi-processor debug in SoC and processor designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Sandeep Kumar Goel, Saman Adham, Min-Jer Wang, Ji-Jan Chen, Tze-Chiang Huang, Ashok Mehta, Frank Lee, Vivek Chickermane, Brion L. Keller, Thomas Valind, Subhasish Mukherjee, Navdeep Sood, Jeongho Cho, Hayden Hyungdong Lee, Jungi Choi, Sangdoo Kim Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Dragos Ionescu, Rean Griffith The wisdom of virtual crowds: mining datacenter telemetry to collaboratively debug performance. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Justin Emile Gottschlich, Rob C. Knauerhase, Gilles Pokam But How Do We Really Debug Transactional Memory Programs? Search on Bibsonomy HotPar The full citation details ... 2013 DBLP  BibTeX  RDF
16Prabhat Mishra 0001, Masahiro Fujita, Virendra Singh, Nagesh Tamarapalli, Sharad Kumar, Rajesh Mittal Tutorial T10: Post - Silicon Validation, Debug and Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Nikos Foutris, Dimitris Gizopoulos, Xavier Vera, Antonio González 0001 Deconfigurable microprocessor architectures for silicon debug acceleration. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Mehdi Dehbashi Debug automation from pre-silicon to post-silicon. Search on Bibsonomy 2013   RDF
16Emilio Serrano, Andrés Muñoz 0001, Juan A. Botía An approach to debug interactions in multi-agent system software tests. Search on Bibsonomy Inf. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici Automating Data Analysis and Acquisition Setup in a Silicon Debug Environment. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Marcel Gort, Flavio M. de Paula, Johnny J. W. Kuan, Tor M. Aamodt, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 Formal-Analysis-Based Trace Computation for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Aaron Spear, Markus Levy, Mathieu Desnoyers Using Tracing to Solve the Multicore System Debug Problem. Search on Bibsonomy Computer The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Marta Portela-García, Michelangelo Grosso, M. Gallardo-Campos, Matteo Sonza Reorda, Luis Entrena, Mario García-Valderas, Celia López-Ongil On the use of embedded debug features for permanent and transient fault resilience in microprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Joon-Sung Yang, Nur A. Touba Efficient Trace Signal Selection for Silicon Debug by Error Transmission Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Tsung-Yen Tsai, Sadok Aouini, Gordon W. Roberts High Speed On-Chip Signal Generation for Debug and Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mohammad Hossein Neishaburi, Zeljko Zilic An infrastructure for debug using clusters of assertion-checkers. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jing Zeng, Ruifeng Guo, Wu-Tung Cheng, Michael Mateja, Jing Wang Scan-Based Speed-Path Debug for a Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Flavio M. de Paula, Alan J. Hu, Amir Nahir nuTAB-BackSpace: Rewriting to Normalize Non-determinism in Post-silicon Debug Traces. Search on Bibsonomy CAV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Johnny J. W. Kuan, Tor M. Aamodt Progressive-BackSpace: Efficient Predecessor Computation for Post-Silicon Debug. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Tomasz Boleslaw Cedro, Marcin Kuzia, Antoni Grzanka LibSWD - Serial Wire Debug Open Framework for Low - Level Embedded Systems Access . Search on Bibsonomy FedCSIS The full citation details ... 2012 DBLP  BibTeX  RDF
16Zissis Poulos, Yu-Shen Yang, Jason Helge Anderson, Andreas G. Veneris, Bao Le Leveraging reconfigurability to raise productivity in FPGA functional debug. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Xiaoquan Wu, Jun Wei, Xu Wang Debug Concurrent Programs with Visualization and Inference of Event Structure. Search on Bibsonomy APSEC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici, Masahiro Fujita Automated data analysis techniques for a modern silicon debug environment. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Bijan Alizadeh A formal approach to debug polynomial datapath designs. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Steven J. E. Wilton Limitations of incremental signal-tracing for FPGA debug. Search on Bibsonomy FPL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Thiago Pinheiro de Araújo, Carla Wanderley, Arndt von Staa An Introspection Mechanism to Debug Distributed Systems. Search on Bibsonomy SBES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Vladimir Todorov, Alberto Ghiribaldi, Helmut Reinig, Davide Bertozzi, Ulf Schlichtmann Non-intrusive trace & debug noc architecture with accurate timestamping for GALS SoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Rawan Abdel-Khalek, Valeria Bertacco Functional post-silicon diagnosis and debug for networks-on-chip. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Somnath Banerjee 0003, Tushar Gupta Fast and scalable hybrid functional verification and debug with dynamically reconfigurable co-simulation. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Ethan Blanton, Demian Lessa, Lukasz Ziarek, Bharat Jayaraman Ji.Fi: visual test and debug queries for hard real-time. Search on Bibsonomy JTRES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mike Li, Manoj Sachdev Power delivery: Droop, jitter, test and debug story (Tutorial). Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Derrell Lipman LIBERATED: A Fully In-Browser Client and Server Web Application Debug and Test Environment. Search on Bibsonomy WebApps The full citation details ... 2012 DBLP  BibTeX  RDF
Displaying result #401 - #500 of 1206 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license