The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1982 (22) 1983-1985 (18) 1986-1988 (27) 1989-1990 (20) 1991-1992 (19) 1993 (68) 1994 (66) 1995 (85) 1996 (82) 1997 (78) 1998 (82) 1999 (107) 2000 (108) 2001 (127) 2002 (141) 2003 (177) 2004 (165) 2005 (190) 2006 (216) 2007 (189) 2008 (214) 2009 (173) 2010 (170) 2011 (129) 2012 (161) 2013 (70) 2014 (163) 2015 (89) 2016 (106) 2017 (134) 2018 (113) 2019 (139) 2020 (111) 2021 (116) 2022 (114) 2023 (144) 2024 (24)
Publication types (Num. hits)
article(1278) book(2) data(1) incollection(4) inproceedings(2831) phdthesis(8) proceedings(33)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1714 occurrences of 747 keywords

Results
Found 4157 publication records. Showing 4157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Frank Sill Torres, Pedro Fausto Rodrigues Leite, Rolf Drechsler Unintrusive aging analysis based on offline learning. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Taniya Siddiqua, Vilas Sridharan, Steven E. Raasch, Nathan DeBardeleben, Kurt B. Ferreira, Scott Levy, Elisabeth Baseman, Qiang Guan Lifetime memory reliability data from the field. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Pai-Shun Ting, John P. Hayes Eliminating a hidden error source in stochastic circuits. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27R. Cantora, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero, Emanuele Valea On the optimization of SBST test program compaction. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Alexander Schneider 0002, Paul Pop, Jan Madsen Volume management for fault-tolerant continuous-flow microfluidics. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Marco Restifo, Paolo Bernardi, Sergio de Luca, Alessandro Sansonetti On-line software-based self-test for ECC of embedded RAM memories. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Harshad Dhotre, Stephan Eggersglüß, Mehdi Dehbashi, Ulrike Pfannkuchen, Rolf Drechsler Machine learning based test pattern analysis for localizing critical power activity areas. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Mert Atamaner, Oguz Ergin, Marco Ottavi, Pedro Reviriego Detecting errors in instructions with bloom filters. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Satyadev Ahlawat, Darshit Vaghani, Virendra Singh Preventing scan-based side-channel attacks through key masking. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geoff V. Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das Hardware and software innovations in energy-efficient system-reliability monitoring. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Kedar Janardan Dhori, Hitesh Chawla, Ashish Kumar, Prashant Pandey, Promod Kumar, Lorenzo Ciampolini, Florian Cacho, Damien Croain High-yield design of high-density SRAM for low-voltage and low-leakage operations. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Michiya Kanda, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu A defective level monitor of open defects in 3D ICs with a comparator of offset cancellation type. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Jyothish Soman, Timothy M. Jones 0001 High performance fault tolerance through predictive instruction re-execution. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Jain-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Design-for-testability for paper-based digital microfluidic biochips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Mihalis Psarakis, Aitzan Sari A scrubbing scheduling approach for reliable FPGA multicore processors with real-time constraints. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Rishad A. Shafik, Qiaoyan Yu, S. Saqib Khursheed, Antonio Miele Welcome Message. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Lucas Weigel, Fernando Fernandes 0001, Philippe O. A. Navaux, Paolo Rech Kernel vulnerability factor and efficient hardening for histogram of oriented gradients. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Sebastian Huhn 0001, Stephan Eggersglüß, Rolf Drechsler Reconfigurable TAP controllers with embedded compression for large test data volume. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Bing Li 0005, Ulf Schlichtmann Reliability-aware synthesis and fault test of fully programmable valve arrays (FPVAs). Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27H. Junqi, T. Nandha Kumar, Haider Abbas, Fabrizio Lombardi Simulation-based evaluation of frequency upscaled operation of exact/approximate ripple carry adders. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Yu-Wei Lee, Nur A. Touba Improving test compression with multiple-polynomial LFSRs. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Haider Alrudainy, Rishad A. Shafik, Andrey Mokhov, Alex Yakovlev Lifetime reliability characterization of N/MEMS used in power gating of digital integrated circuits. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Shoba Gopalakrishnan, Virendra Singh REMORA: A hybrid low-cost soft-error reliable fault tolerant architecture. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Chiara Sandionigi, Maurício Altieri, Olivier Héron Early estimation of aging in the design flow of integrated circuits through a programmable hardware module. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Mauricio D. Gutierrez, Vasileios Tenentes, Tom J. Kazmierski, Daniele Rossi 0001 Low cost error monitoring for improved maintainability of IoT applications. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Yu Xie, Chen Yang 0003, Chuang-An Mao, He Chen, Yizhuang Xie A novel low-overhead fault tolerant parallel-pipelined FFT design. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Xin Fan 0002, Jan Stuijt, Tobias Gemmeke Towards SRAM leakage power minimization by aggressive standby voltage scaling - Experiments on 40nm test chips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Prashant D. Joshi, Arunabha Sen, D. Frank Hsu, Said Hamdioui, Koen Bertels Region based containers - A new paradigm for the analysis of fault tolerant networks. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Alessandro Baldassari, Cristiana Bolchini, Antonio Miele A dynamic reliability management framework for heterogeneous multicore systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Nguyen T. H. Nguyen, Ediz Cetin, Oliver Diessel Scheduling voter checks to detect configuration memory errors in FPGA-based TMR systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Parham Purbakht, Peter Le, Israel Koren, Zahava Koren Exploring soft errors (SEUs) with digital imager pixels ranging from 7 to 1.3 μm. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Gokulkrishnan Vadakkeveedu, V. Kamakoti 0001, Nitin Chandrachoodan, Seetal Potluri A scalable pseudo-exhaustive search for fault diagnosis in microfluidic biochips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Lake Bu, Hien D. Nguyen, Michel A. Kinsy RASSS: A perfidy-aware protocol for designing trustworthy distributed systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Tiago A. O. Alves, Sandip Kundu, Leandro A. J. Marzulo, Felipe M. G. França A resilient scheduler for dataflow execution. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Amir Mahdi Hosseini Monazzah, Hamed Farbeh, Seyed Ghassem Miremadi Investigating the effects of process variations and system workloads on endurance of non-volatile caches. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Toshinori Hosokawa, Atsushi Hirai, Hiroshi Yamazaki, Masayuki Arai A dynamic test compaction method on low power test generation based on capture safe test vectors. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Andrea Fedi, Marco Ottavi, Gianluca Furano, Antimo Bruno, Roberto Senesi, Carla Andreani, Carlo Cazzaniga High-energy neutrons characterization of a safety critical computing system. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Leandro Santiago 0001, Vinay C. Patil, Charles B. Prado, Tiago A. O. Alves, Leandro A. J. Marzulo, Felipe M. G. França, Sandip Kundu Realizing strong PUF from weak PUF via neural computing. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Sina Boroumand, Hadi Parandeh-Afshar, Philip Brisk, Siamak Mohammadi CAL: Exploring cost, accuracy, and latency in approximate and speculative adder design. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2016, Storrs, CT, USA, September 19-20, 2016 Search on Bibsonomy DFT The full citation details ... 2016 DBLP  BibTeX  RDF
27Ke Chen 0018, Fabrizio Lombardi, Jie Han 0001 Design and analysis of an approximate 2D convolver. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Anirudh Iyengar, Swaroop Ghosh, Nitin Rathi, Helia Naeimi Side channel attacks on STTRAM and low-overhead countermeasures. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Xiaolin Xu, Daniel E. Holcomb Reliable PUF design using failure patterns from time-controlled power gating. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Abdulaziz Eker, Oguz Ergin Error recovery through partial value similarity. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Rahul Thomas, Rohan Thomas, Israel Koren, Zahava Koren Experimental study and analysis of soft and permanent errors in digital cameras. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Mojing Liu, Brett H. Meyer Bounding error detection latency in safety critical systems with enhanced Execution Fingerprinting. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Gianluca Furano, Stefano Di Mascio, Tomasz Szewczyk, Alessandra Menicucci, Luigi Campajola, Francesco Di Capua, Andrea Fabbri, Marco Ottavi A novel method for SEE validation of complex SoCs using Low-Energy Proton beams. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Adam Watkins, Spyros Tragoudas A Highly Robust Double Node Upset Tolerant latch. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Ahmed Ibrahim 0001, Hans G. Kerkhoff Efficient utilization of hierarchical iJTAG networks for interrupts management. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Vinay C. Patil, Arunkumar Vijayakumar, Sandip Kundu On meta-obfuscation of physical layouts to conceal design characteristics. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Filippo Giuliani, Marco Ottavi, Gian Carlo Cardarilli, Marco Re, Luca Di Nunzio, Rocco Fazzolari, Antimo Bruno, Francesco Zuliani Design and characterization of a high-safety hardware/software module for the acquisition of Eurobalise telegrams. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Luca Santinelli, Zhishan Guo, Laurent George 0001 Fault-aware sensitivity analysis for probabilistic real-time systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Ronak Salamat, Masoumeh Ebrahimi, Nader Bagherzadeh, Freek Verbeek CoBRA: Low cost compensation of TSV failures in 3D-NoC. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Hassan Ebrahimi, Alireza Rohani, Hans G. Kerkhoff Detecting intermittent resistive faults in digital CMOS circuits. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Zaid Al-bayati, Brett H. Meyer, Haibo Zeng 0001 Fault-tolerant scheduling of multicore mixed-criticality systems under permanent failures. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Alexander Schöll, Claus Braun, Hans-Joachim Wunderlich Applying efficient fault tolerance to enable the preconditioned conjugate gradient solver on approximate computing hardware. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Chao Chen, Jacopo Panerati, Giovanni Beltrame Effects of online fault detection mechanisms on Probabilistic Timing Analysis. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Juexiao Su, Ju-Yueh Lee, Chang Wu, Lei He In-place LUT polarity inVersion to mitigate soft errors for FPGAs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Xiaotong Cui, Kaijie Wu 0001, Siddharth Garg, Ramesh Karri Can flexible, domain specific programmable logic prevent IP theft? Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Juman Alshraiedeh, Avinash Kodi An adaptive routing algorithm to improve lifetime reliability in NoCs architecture. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar 0001 Cross-layer fault-tolerant design of real-time systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Hananeh Aliee, Stefan Vitzethum, Michael Glaß, Jürgen Teich, Emanuele Borgonovo Guiding Genetic Algorithms using importance measures for reliable design of embedded systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Amir Charif, Nacer-Eddine Zergainoh, Michael Nicolaidis A new approach to deadlock-free fully adaptive routing for high-performance fault-tolerant NoCs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Naghmeh Karimi, Ke Huang 0001 Prognosis of NBTI aging using a machine learning scheme. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Hardeep Chahal, Vasileios Tenentes, Daniele Rossi 0001, Bashir M. Al-Hashimi BTI aware thermal management for reliable DVFS designs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Matteo Carminati, Tulika Mitra, Thannirmalai Somu Muthukaruppan Combined on-line lifetime-energy optimization for asymmetric multicores. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Marcos T. Leipnitz, Eduardo Nunes de Souza, Gabriel L. Nazar Low cost resilient regular expression matching on FPGAs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Omer Khan, Maria K. Michael, Antonio Miele, Qiaoyan Yu Foreword. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Hiroki Ueno, Kazuteru Namba Construction of a soft error (SEU) hardened Latch with high critical charge. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Xabier Iturbe, Balaji Venu, Emre Ozer 0001 Soft error vulnerability assessment of the real-time safety-related ARM Cortex-R5 CPU. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Riccardo Cantoro, Davide Piumatti, Paolo Bernardi, Sergio de Luca, Alessandro Sansonetti In-field functional test programs development flow for embedded FPUs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014 Search on Bibsonomy DFT The full citation details ... 2014 DBLP  BibTeX  RDF
27Ashkan Eghbal, Pooria M. Yaghini, Siavash S. Yazdi, Nader Bagherzadeh TSV-to-TSV inductive coupling-aware coding scheme for 3D Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Stavros Tzilis, Ioannis Sourdis A runtime manager for gracefully degrading SoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Swapnil Bahl, Shreyans Rungta, Shray Khullar, Rohit Kapur, Anshuman Chandra, Salvatore Talluto 0001, Pramod Notiyath, Ajay Rajagopalan Unifying scan compression. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Jimson Mathew, Marco Ottavi, Yunfan Yang, Dhiraj K. Pradhan Using memristor state change behavior to identify faults in photovoltaic arrays. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Luca Cassano Machine learning-based techniques for incremental functional diagnosis: A comparative analysis. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Mihalis Psarakis, Alexandros Vavousis, Cristiana Bolchini, Antonio Miele Design and implementation of a self-healing processor on SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Jerry Backer, David Hély, Ramesh Karri Reusing the IEEE 1500 design for test infrastructure for security monitoring of Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Thiago Berticelli Lo, Fernanda Lima Kastensmidt, Antonio Carlos Schneider Beck Towards an adaptable bit-width NMR voter for multiple error masking. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Tsuyoshi Iwagaki, Tatsuya Nakaso, Ryoko Ohkubo, Hideyuki Ichihara, Tomoo Inoue Scheduling algorithm in datapath synthesis for long duration transient fault tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27In-Seok Jung, Yong-Bin Kim A 12-bit 32MS/s SAR ADC using built-in self calibration technique to minimize capacitor mismatch. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Domenico G. Sorrenti, Dario Cozzi, Sebastian Korf, Luca Cassano, Jens Hagemeyer, Mario Porrmann, Cinzia Bernardeschi Exploiting dynamic partial reconfiguration for on-line on-demand testing of permanent faults in reconfigurable systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Lucas A. Tambara, Fernanda Lima Kastensmidt, Paolo Rech, Christopher Frost 0002 Decreasing FIT with diverse triple modular redundancy in SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Stefano Di Carlo, Paolo Prinetto, Daniele Rolfo, Pascal Trotta A fault injection methodology and infrastructure for fast single event upsets emulation on Xilinx SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Cristian Constantinescu, Srini Krishnamoorthy, Tuyen Nguyen Estimating the effect of single-event upsets on microprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Wisam Aljubouri, Ahish Mysore Somashekar, Themistoklis Haniotakis, Spyros Tragoudas Diagnosis of segment delay defects with current sensing. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael Exploration of system availability during software-based self-testing in many-core systems under test latency constraints. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Victor Tomashevich, Yaara Neumeier, Raghavan Kumar, Osnat Keren, Ilia Polian Protecting cryptographic hardware against malicious attacks by nonlinear robust codes. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Jahanzeb Anwer, Marco Platzner Analytic reliability evaluation for fault-tolerant circuit structures on FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Masoumeh Ebrahimi, Junshi Wang, Letian Huang, Masoud Daneshtalab, Axel Jantsch Rescuing healthy cores against disabled routers. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Pilin Junsangsri, Jie Han 0001, Fabrizio Lombardi A system-level scheme for resistance drift tolerance of a multilevel phase change memory. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Rohit Thomas, Rahul Thomas, Israel Koren, Zahava Koren Improved correction for hot pixels in digital imagers. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Halit Dogan, Domenic Forte, Mark Mohammad Tehranipoor Aging analysis for recycled FPGA detection. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Paniz Foroutan, Mehdi Kamal, Zainalabedin Navabi A heuristic path selection method for small delay defects test. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli Artificial intelligence based task mapping and pipelined scheduling for checkpointing on real time systems with imperfect fault detection. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Mohammad Hashem Haghbayan, Bijan Alizadeh, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Automated formal approach for debugging dividers using dynamic specification. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Paolo Bernardi, Riccardo Cantoro, Lyl M. Ciganda Brasca, Ernesto Sánchez 0001, Matteo Sonza Reorda, Sergio de Luca, Renato Meregalli, Alessandro Sansonetti On the in-field functional testing of decode units in pipelined RISC processors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Md. Tauhidur Rahman 0001, Domenic Forte, Quihang Shi, Gustavo K. Contreras, Mark Mohammad Tehranipoor CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 4157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license