The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Paolo Roberto Grassi, Mariagiovanna Sami, Ettore Speziale, Michele Tartara Analyzing the Sensitivity to Faults of Synchronization Primitives. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Noor M. Nayeem, Jacqueline E. Rice Online Fault Detection in Reversible Logic. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seyab Khan, Nor Zaidi Haron, Said Hamdioui, Francky Catthoor NBTI Monitoring and Design for Reliability in Nanoscale Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele An Application-Level Dependability Analysis Framework for Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Bonnie L. Gray, Vijay K. Jain Creating Defect Tolerance in Microfluidic Capacitive/Photonic Biosensors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Md. Muwyid U. Khan, Pritish Narayanan, Priyamvada Vijayakumar, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Biased Voting for Improved Yield in Nanoscale Fabrics. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masashi Imai, Tomohiro Yoneda Duplicated Execution Method for NoC-based Multiple Processor Systems with Restricted Private Memories. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nivesh Rai, Hamidreza Hashempour, Yizi Xing, Bram Kruseman, Said Hamdioui A Schematic-Based Extraction Methodology for Dislocation Defects in Analog/Mixed-Signal Devices. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Javier Carretero, Jaume Abella 0001, Xavier Vera, Pedro Chaparro Control-Flow Recovery Validation Using Microarchitectural Invariants. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre A New Bulk Built-In Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rudrajit Datta, Nur A. Touba Generating Burst-Error Correcting Codes from Orthogonal Latin Square Codes - A Graph Theoretic Approach. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jorge Luis Lagos-Benites, Michelangelo Grosso, Matteo Sonza Reorda, G. Audisio, M. Pipponzi, Marco Sabatini, V. A. Avantaggiati An FPGA-Emulation-Based Platform for Characterization of Digital Baseband Communication Systems. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Erik MacLean, Vijay K. Jain A Power Transmission Line Fault Distance Estimation VLSI Chip: Design and Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chandra Babu Dara, Spyros Tragoudas, Themistoklis Haniotakis A Metric for Weight Assignment to Optimize the Performance of MOBILE Threshold Logic Gate. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mehran Mozaffari Kermani, Arash Reyhani-Masoleh Reliable Hardware Architectures for the Third-Round SHA-3 Finalist Grostl Benchmarked on FPGA Platform. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Matteo Sonza Reorda, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch On the Modeling of Gate Delay Faults by Means of Transition Delay Faults. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Alireza Nojeh A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rudrajit Datta, Nur A. Touba X-Stacking - A Method for Reducing Control Data for Output Compaction. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hiroshi Kutami, Yusuke Fukushima, Masaru Fukushi, Ikuko Eguchi Yairi, Takeshi Hattori Route-Aware Task Mapping Method for Fault-Tolerant 2D-Mesh Network-on-Chips. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masato Inoue, Haruhiko Kaneko Deletion/Insertion/Reversal Error Correcting Codes for Bit-Patterned Media Recording. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masoud Zamani, Hossein Pedram, Fabrizio Lombardi Templated-Based Asynchronous Design for Testable and Fail-Safe Operation. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shuai Wang Characterizing System-Level Vulnerability for Instruction Caches against Soft Errors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masayoshi Yoshimura, Yusuke Akamine, Yusuke Matsunaga A Soft Error Tolerance Estimation Method for Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ahmed Awad, Abdallatif S. Abu-Issa, Said Hamdioui Reducing Test Power for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vijay K. Jain, Glenn H. Chapman Enhanced Defect Tolerance through Matrixed Deployment of Intelligent Sensors for the Smart Power Grid. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dan Alexandrescu, Enrico Costenaro, Michael Nicolaidis A Practical Approach to Single Event Transients Analysis for Highly Complex Designs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniele Giaffreda, Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Model for Thermal Behavior of Shaded Photovoltaic Cells under Hot-Spot Condition. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel B. Limbrick, Suge Yue, William H. Robinson, Bharat L. Bhuva Impact of Synthesis Constraints on Error Propagation Probability of Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Geunho Cho, Fabrizio Lombardi On the Delay Analysis of Defective CNTFETs with Undeposited CNTs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sreenivas Gangadhar, Spyros Tragoudas A Probabilistic Approach to Diagnose SETs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Jenny Leung, Ana I. L. Namburete, Israel Koren, Zahava Koren Predicting Pixel Defect Rates Based on Image Sensor Parameters. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Gradual Diagnostic Test Generation Based on the Structural Distance between Indistinguished Fault Pairs. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kazuteru Namba, Hideo Ito Soft Error Tolerant BILBO FF. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marc Hunger, Sybille Hellebrand The Impact of Manufacturing Defects on the Fault Tolerance of TMR-Systems. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Salvatore Campagna, Moazzam Hussain, Massimo Violante Hypervisor-Based Virtual Hardware for Fault Tolerance in COTS Processors Targeting Space Applications. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pritish Narayanan, Michael Leuchtenburg, Jorge Kina, Prachi Joshi, Pavan Panchapakeshan, Chi On Chui, Csaba Andras Moritz Parameter Variability in Nanoscale Fabrics: Bottom-Up Integrated Exploration. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele Reliability-Driven System-Level Synthesis of Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bishnu Prasad Das, Hidetoshi Onodera Warning Prediction Sequential for Transient Error Prevention. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra Transient Fault and Soft Error On-die Monitoring Scheme. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Luca Fossati, David Merodio Codinachs, Antonio Miele, Chiara Sandionigi A Reliable Reconfiguration Controller for Fault-Tolerant Embedded Systems on Multi-FPGA Platforms. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yoshiyuki Nakamura, Masashi Tanaka A Multi-dimensional Iddq Testing Method Using Mahalanobis Distance. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vijay K. Jain, Glenn H. Chapman Massively Deployable Intelligent Sensors for the Smart Power Grid. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yusuke Fukushima, Masaru Fukushi, Ikuko Eguchi Yairi, Takeshi Hattori A Hardware-Oriented Fault-Tolerant Routing Algorithm for Irregular 2D-Mesh Network-on-Chip without Virtual Channels. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nor Zaidi Haron, Said Hamdioui High-Performance Cluster-Fault Tolerance Scheme for Hybrid Nanoelectronic Memories. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nor Azura Zakaria, Edward V. Bautista Jr., Suhaimi Bahisham Jusoh, Weng Fook Lee, Xiaoqing Wen Case Studies on Transition Fault Test Generation for At-speed Scan Testing. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hassan Ebrahimi, Morteza Saheb Zamani, Seyyed Ahmad Razavi A Switch Box Architecture to Mitigate Bridging and Short Faults in SRAM-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bijan Ansari, Ingrid Verbauwhede A Hybrid Scheme for Concurrent Error Detection of Multiplication over Finite Fields. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Lizhen Yu, Jeffrey Hung, Boryau Sheu, Bill Huynh, Loc Nguyen, Shianling Wu, Laung-Terng Wang, Xiaoqing Wen Hybrid Built-In Self-Test Architecture for Multi-port Static RAMs. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kunihiro Asada, Makoto Ikeda, Benjamin Stefan Devlin, Taku Sogabe Self-Synchrounous Circuits with Completion/Error Detection as a Candidate of Future LSI Resilient for PVT Variations and Aging. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ming Zhu, Liyi Xiao, Shuhao Li, Yanjing Zhang Efficient Two-Dimensional Error Codes for Multiple Bit Upsets Mitigation in Memory. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi An Analytical Error Model for Pattern Clipping in DNA Self-Assembly. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kensuke Tai, Masato Kitakami Prolongation of Lifetime and the Evaluation Method of Dependable SSD. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Luca Amati, Cristiana Bolchini, Fabio Salice Test Selection Policies for Faster Incremental Fault Detection. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Navaneeth Rameshan, Vijay Laxmi, Manoj Singh Gaur, Mushtaq Ahmed, Krishan Kumar Paliwal Minimal Path, Fault Tolerant, QoS Aware Routing with Node and Link Failure in 2-D Mesh NoC. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shianling Wu, Laung-Terng Wang, Lizhen Yu, Hiroshi Furukawa, Xiaoqing Wen, Wen-Ben Jone, Nur A. Touba, FeiFei Zhao, Jinsong Liu, Hao-Jan Chao, Fangfang Li, Zhigang Jiang Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daisaku Seto, Minoru Watanabe Recovery Method for a Laser Array Failure on Dynamic Optically Reconfigurable Gate Arrays. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Geunho Cho, Fabrizio Lombardi, Yong-Bin Kim Modelling a CNTFET with Undeposited CNT Defects. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tatsuya Suto, Kenji Ichijo, Yoshio Yoshioka Design and Evaluation of Burst-Mode Asynchronous 8-Bit Microprocessor Using Standard FPGA Development System. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nobuyasu Kanekawa Industrial Approach for Dependability. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yasuo Sato Circuit Failure Prediction by Field Test - A New Task of Testing. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pilin Junsangsri, Fabrizio Lombardi Time/Temperature Degradation of Solar Cells under the Single Diode Model. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Takashi Aikyo Test Challenge for Deep Sub-micron Era - Test & Diagnosis Platform: STARCAD-Clouseau. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tomoyuki Nagase, Kenji Ichijo, Akiko Narita, Yoshio Yoshioka CFBLT: A Closed Feed Back Loop Type Queuing System; Modeling and Analysis. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1NurQamarina MohdNoor, Azilah Saparon, Yusrina Yusof Programmable MBIST Merging FSM and Microcode Techniques Using Macro Commands. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Eduardo Luis Rhod, Luca Sterpone, Luigi Carro A New Soft-Error Resilient Voltage-Mode Quaternary Latch. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kunihito Yamamori, Keisuke Tashiro, Masamichi Kusano, Ikuo Yoshihara A Design of Self-Defect-Compensatable Hardware Neuron for Multi-layer Neural Networks. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Payman Zarkesh-Ha, Ali Arabi M. Shahi Logic Gate Failure Characterization for Nanoelectronic EDA Tools. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Anant Narayan Hariharan, Salvatore Pontarelli, Marco Ottavi, Fabrizio Lombardi Modeling Open Defects in Nanometric Scale CMOS. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mario Schölzel, Sebastian Müller 0005 Combining Hardware- and Software-Based Self-Repair Methods for Statically Scheduled Data Paths. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tsung-Yeh Li, Shi-Yu Huang, Hsuan-Jung Hsu, Chao-Wen Tzeng, Chih-Tsun Huang, Jing-Jia Liou, Hsi-Pin Ma, Po-Chiun Huang, Jenn-Chyou Bor, Cheng-Wen Wu, Ching-Cheng Tien, Mike Wang AF-Test: Adaptive-Frequency Scan Test Methodology for Small-Delay Defects. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chun-Lung Hsu, Chen-Wei Lan, Yu-Chih Lo, Yu-Sheng Huang Adaptive De-noising Filter Algorithm for CMOS Image Sensor Testing Applications. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dan Zhu, Tun Li, Sikun Li An Approximate Soft Error Reliability Sorting Approach Based on State Analysis of Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Martin Omaña 0001, Daniele Giaffreda, Cecilia Metra, T. M. Mak, Simon Tam 0001, Asifur Rahman On-die Ring Oscillator Based Measurement Scheme for Process Parameter Variations and Clock Jitter. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kazuteru Namba, Masatoshi Sakata, Hideo Ito Single Event Induced Double Node Upset Tolerant Latch. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Noriaki Takagi A Study of eSRAM Testability. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xiaoqing Wen Low-Power Testing for Low-Power Devices. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Priyamvada Vijayakumar, Pritish Narayanan, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Incorporating Heterogeneous Redundancy in a Nanoprocessor for Improved Yield and Performance. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mahroo Zandrahimi, Alireza Zarei, Hamid R. Zarandi A Probabilistic Method to Detect Anomalies in Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Min-Ju Chan, Chun-Lung Hsu A Strategy for Interconnect Testing in Stacked Mesh Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Marco Ottavi, Adelio Salsano Error Detection and Correction in Content Addressable Memories. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Srikanth V. Devarapalli, Payman Zarkesh-Ha, Steven C. Suddarth SEU-Hardened Dual Data Rate Flip-Flop Using C-Elements. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Erik MacLean, Vijay K. Jain Analog Design for a Power Transmission Line Sensing and Analysis VLSI Chip. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2010, Kyoto, Japan, October 6-8, 2010 Search on Bibsonomy DFT The full citation details ... 2010 DBLP  BibTeX  RDF
1Glenn H. Chapman, Jenny Leung, Israel Koren, Zahava Koren Tradeoffs in Imager Design with Respect to Pixel Defect Rates. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Navid Farazmand, Masoud Zamani, Mehdi Baradaran Tahoori Online Multiple Fault Detection in Reversible Circuits. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Osnat Keren, Ilya Levin, Mark G. Karpovsky Duplication Based One-to-Many Coding for Trojan HW Detection. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Naveed A. Sherwani Dreams, Plans, and Journey of Reaching Perfect Predictability and Reliability in ASICs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sybille Hellebrand, Marc Hunger Are Robust Circuits Really Robust? Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Li-C. Wang Data Learning Techniques for Functional/System Fmax Prediction. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Marcelo Lubaszewski Can Functional Test Achieve Low-cost Full Coverage of NoC Faults? Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy On-chip Generation of the Second Primary Input Vectors of Broadside Tests. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Norman P. Jouppi Resilience Challenges for Exascale Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Snehal Udar, Dimitri Kagaris Minimizing Observation Points for Fault Location. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Shih-Hsin Hu, Tung-Yeh Wu, Jacob A. Abraham SNR-Aware Error Detection for Low-Power Discrete Wavelet Lifting Transform in JPEG 2000. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Xiaojun Ma, Masoud Hashempour, Yong-Bin Kim, Fabrizio Lombardi Errors in DNA Self-Assembly by Synthesized Tile Sets. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1D. M. H. Walker Challenges in Delay Testing of Integrated Circuits. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Patrick J. Eibl, Andrew D. Cook, Daniel J. Sorin Reduced Precision Checking for a Floating Point Adder. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Matteo Sonza Reorda, Massimo Violante, Cristina Meinhardt, Ricardo Reis 0001 An On-board Data-Handling Computer for Deep-Space Exploration Built Using Commercial-Off-the-Shelf SRAM-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nastaran Nemati, Amirhossein Simjour, Amirali Ghofrani, Zainalabedin Navabi Optimizing Parametric BIST Using Bio-inspired Computing Algorithms. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sheng Lin 0006, Yong-Bin Kim, Fabrizio Lombardi A Novel Hardened Design of a CMOS Memory Cell at 32nm. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license