The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVFS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Wonje Choi 0001, Shervin Hajiamini, Ryan Gary Kim, Armin Rahimi, Niloofar Hezarjaribi, Partha Pratim Pande, Behrooz A. Shirazi Improving EDP in wireless NoC-enabled multicore chips via DVFS pruning. Search on Bibsonomy MWSCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Dong Han, Tao Shu Thermal-aware energy-efficient task scheduling for DVFS-enabled data centers. Search on Bibsonomy ICNC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Saroj Satapathy, Won Ho Choi, Xiaofei Wang, Chris H. Kim A revolving reference odometer circuit for BTI-induced frequency fluctuation measurements under fast DVFS transients. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Michail Mavropoulos, Georgios Keramidas, Dimitris Nikolos A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
17Begum Egilmez, Gokhan Memik, Seda Ogrenci Memik, Oguz Ergin User-specific skin temperature-aware DVFS for smartphones. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
17Mario R. Casu, Paolo Giaccone Rate-based vs delay-based control for DVFS in NoC. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
17Qing Jiao, Mian Lu, Huynh Phung Huynh, Tulika Mitra Improving GPGPU energy-efficiency through concurrent kernel execution and DVFS. Search on Bibsonomy CGO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yangxurui Liu, Hemanth Prabhu, Liang Liu 0002, Viktor Öwall Adaptive resource scheduling for energy efficient QRD processor with DVFS. Search on Bibsonomy SiPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Fakhruddin Muhammad Mahbub Ul Islam, Man Lin A Framework for Learning Based DVFS Technique Selection and Frequency Scaling for Multi-core Real-Time Systems. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Parham Haririan, Alberto García Ortiz A framework for hardware-based DVFS management in multicore mixed-criticality systems. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Nizar Dahir, Pedro B. Campos, Gianluca Tempesti, Martin Trefzer, Andrew M. Tyrrell Characterisation of feasibility regions in FPGAs under adaptive DVFS. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17José V. Escamilla, José Flich, Pedro Javier García Efficient DVFS Operation in NoCs Through a Proper Congestion Management Strategy. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Shikang Xu, Israel Koren, C. M. Krishna 0001 Improving processor lifespan and energy consumption using DVFS based on ILP monitoring. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Shervin Hajiamini, Behrooz A. Shirazi, Mohamed Azard Rilvan Enhancing EDP of multicore processors through DVFS. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jean-Claude Charr, Raphaël Couturier, Ahmed Fanfakh, Arnaud Giersch Energy Consumption Reduction with DVFS for Message Passing Iterative Applications on Heterogeneous Architectures. Search on Bibsonomy IPDPS Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yeter Akgul, Diego Puschini, Lionel Vincent, Maurício Altieri, Pascal Benoit Energy-efficient control through power mode placement with discrete DVFS and Body Bias. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Zorana Bankovic, Pedro López-García 0001 Energy Efficient Allocation and Scheduling for DVFS-enabled Multicore Environments using a Multiobjective Evolutionary Algorithm. Search on Bibsonomy GECCO (Companion) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Henry Hsieh, Sang H. Dhong, Cheng-Chung Lin, Ming-Zhang Kuo, Kuo-Feng Tseng, Ping-Lin Yang, Kevin Huang 0005, Min-Jer Wang, Wei Hwang Custom 6-R, 2- or 4-W multi-port register files in an ASIC SOC with a DVFS window of 0.5 V, 130 MHz to 0.96 V, 3.2 GHz in a 28-nm HKMG CMOS technology. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Swetha P. T. Srinivasan, Umesh Bellur WattTime: Novel System Power Model and Completion Time Model for DVFS-Enabled Servers. Search on Bibsonomy ICPADS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yujian Zhang, Yun Wang 0002, Cheng Hu 0002 CloudFreq: Elastic Energy-Efficient Bag-of-Tasks Scheduling in DVFS-Enabled Clouds. Search on Bibsonomy ICPADS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Hussein El Ghor, Maryline Chetto EDeg-fs - A Heuristic for Scheduling and Dynamic Power Management in Embedded Energy Harvesting Systems with DVFS Facilities. Search on Bibsonomy PECCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Erwan Nogues, Romain Berrada, Maxime Pelcat, Daniel Ménard, Erwan Raffin A DVFS based HEVC decoder for energy-efficient software implementation on embedded processors. Search on Bibsonomy ICME The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Chen-Ying Hsieh, Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim Memory-aware cooperative CPU-GPU DVFS governor for mobile games. Search on Bibsonomy ESTIMedia The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Stephen T. Kim, Yi-Chun Shih, Kaushik Mazumdar, Rinkle Jain, Joseph F. Ryan 0002, Carlos Tokunaga, Charles Augustine, Jaydeep P. Kulkarni, Krishnan Ravichandran, James W. Tschanz, Muhammad M. Khellah, Vivek De 8.6 Enabling wide autonomous DVFS in a 22nm graphics execution core using a digitally controlled hybrid LDO/switched-capacitor VR with fast droop mitigation. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Weicheng Huai, Wei Huang 0024, Shi Jin, Zhuzhong Qian Towards Energy Efficient Scheduling for Online Tasks in Cloud Data Centers Based on DVFS. Search on Bibsonomy IMIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Wei Zhang 0044, Hang Zhang 0031, John C. Lach Dynamic core scaling: Trading off performance and energy beyond DVFS. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Fábio Diniz Rossi, Mauro Storch, Israel C. De Oliveira, César A. F. De Rose Modeling power consumption for DVFS policies. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Edith Beigné, Fabien Clermidy, Didier Lattard, Ivan Miro Panades, Yvain Thonnart, Pascal Vivet Fine-grain DVFS and AVFS techniques for complex SoC design: An overview of architectural solutions through technology nodes. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Joshua Dennis Booth, Jagadish Kotra, Hui Zhao 0013, Mahmut T. Kandemir, Padma Raghavan Phase Detection with Hidden Markov Models for DVFS on Many-Core Processors. Search on Bibsonomy ICDCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Rizwana Begum, David Werner, Mark Hempstead, Guru Prasad, Geoffrey Challen Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-component DVFS. Search on Bibsonomy IISWC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Zhinan Cheng, Xi Li 0003, Beilei Sun, Ce Gao, Jiachen Song Automatic frame rate-based DVFS of game. Search on Bibsonomy ASAP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Sami Teravainen, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Milad Ghorbani Moghaddam, Alexandre Yasuo Yamamoto, Cristinel Ababei Investigation of DVFS based dynamic reliability management for chip multiprocessors. Search on Bibsonomy HPCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ki Bum Chun, Changmin Lee 0002, Won Woo Ro A frequency scaling model for energy efficient DVFS designs based on circuit delay optimization. Search on Bibsonomy ISCE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gade Narayana Sri Harsha, Hemanta Kumar Mondal, Sujay Deb A Hardware and Thermal Analysis of DVFS in a Multi-core System with Hybrid WNoC Architecture. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yu-Liang Chou, Shaoshan Liu, Eui-Young Chung, Jean-Luc Gaudiot An Energy and Performance Efficient DVFS Scheme for Irregular Parallel Divide-and-Conquer Algorithms on the Intel SCC. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Pingqiang Zhou, Ayan Paul, Chris H. Kim, Sachin S. Sapatnekar Distributed On-Chip Switched-Capacitor DC-DC Converters Supporting DVFS in Multicore Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ami Marowka Maximizing energy saving of dual-architecture processors using DVFS. Search on Bibsonomy J. Supercomput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Shuai Li 0007, Florian Broekaert Low-power scheduling with DVFS for common RTOS on multicore platforms. Search on Bibsonomy SIGBED Rev. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Vinay Hanumaiah, Sarma B. K. Vrudhula Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Gang Chen 0023, Kai Huang 0001, Alois C. Knoll Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combination. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jacob Murray, Teng Lu, Paul Wettin, Partha Pratim Pande, Behrooz A. Shirazi Dual-Level DVFS-Enabled Millimeter-Wave Wireless NoC Architectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jacob Murray, Ryan Gary Kim, Paul Wettin, Partha Pratim Pande, Behrooz A. Shirazi Performance Evaluation of Congestion-Aware Routing with DVFS on a Millimeter-Wave Small-World Wireless NoC. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Chia-Ming Wu, Ruay-Shiung Chang, Hsin-Yu Chan A green energy-efficient scheduling algorithm using the DVFS technique for cloud datacenters. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Shin Gyu Kim, Hyeonsang Eom, Heon Y. Yeom, Sang Lyul Min Energy-centric DVFS controlling method for multi-core platforms. Search on Bibsonomy Computing The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ryan Gary Kim, Jacob Murray, Paul Wettin, Partha Pratim Pande, Behrooz A. Shirazi An energy-efficient millimeter-wave wireless NoC with congestion-aware routing and DVFS. Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Deepak Garg 0002, Rajender Sharma Low Power Multiplier using Dynamic Voltage and Frequency Scaling (DVFS). Search on Bibsonomy ICACCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Warody Lombardi, Maurício Altieri, Yeter Akgul, Diego Puschini, Suzanne Lesecq Multivariable voltage and frequency control for DVFS management. Search on Bibsonomy CCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ami Marowka Energy-Efficient Management of DVFS-Enabled Integrated Microprocessors. Search on Bibsonomy PDP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Marco E. T. Gerards, Johann L. Hurink, Philip K. F. Hölzenspies, Jan Kuper, Gerard J. M. Smit Analytic Clock Frequency Selection for Global DVFS. Search on Bibsonomy PDP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ming Liu 0006, Chao Li 0009, Tao Li 0006 Understanding the Impact of vCPU Scheduling on DVFS-Based Power Management in Virtualized Cloud Environment. Search on Bibsonomy MASCOTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Hemanta Kumar Mondal, Gade Narayana Sri Harsha, Sujay Deb An Efficient Hardware Implementation of DVFS in Multi-core System with Wireless Network-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli, Cristiana Bolchini, Antonio Miele Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bo Su, Junli Gu, Li Shen 0007, Wei Huang 0004, Joseph L. Greathouse, Zhiying Wang 0003 PPEP: Online Performance, Power, and Energy Prediction Framework and DVFS Space Exploration. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Alexander W. Min, Ren Wang 0001, James Tsai, Tsung-Yuan Charlie Tai Joint optimization of DVFS and low-power sleep-state selection for mobile platforms. Search on Bibsonomy ICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ibrahim Takouna, Christoph Meinel Coordinating VMs' Memory Demand Heterogeneity and Memory DVFS for Energy-Efficient VMs Consolidation. Search on Bibsonomy iThings/GreenCom/CPSCom The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17José Luis March, Salvador Petit, Julio Sahuquillo, Houcine Hassan, José Duato Dynamic WCET Estimation for Real-Time Multicore Embedded Systems Supporting DVFS. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Parham Haririan, Alberto García Ortiz Non-intrusive DVFS emulation in gem5 with application to self-aware architectures. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jagpreet Singh, Nitin Auluck DVFS and duplication based scheduling for optimizing power and performance in heterogeneous multiprocessors. Search on Bibsonomy SpringSim (HPS) The full citation details ... 2014 DBLP  BibTeX  RDF
17Rodrigo N. Calheiros, Rajkumar Buyya Energy-Efficient Scheduling of Urgent Bag-of-Tasks Applications in Clouds through DVFS. Search on Bibsonomy CloudCom The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jean-Philippe Halimi, Benoît Pradelle, Amina Guermouche, William Jalby FoREST-mn: Runtime DVFS beyond communication slack. Search on Bibsonomy IGCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17George Terzopoulos, Helen D. Karatza Bag-of-Task Scheduling on Power-Aware Clusters Using a DVFS-Based Mechanism. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Haibo Zhang 0005, Wenting Han, Feng Li, Songtao He, Yichao Cheng, Hong An, Zhitao Chen A Criticality-Aware DVFS Runtime Utility for Optimizing Power Efficiency of Multithreaded Applications. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Pengcheng Huang, Pratyush Kumar, Georgia Giannopoulou, Lothar Thiele Energy efficient DVFS scheduling for mixed-criticality systems. Search on Bibsonomy EMSOFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Min-June Lee, Do-Yun Kim, Young-June Choi Performance of DVFS governors for DASH-based mobile video. Search on Bibsonomy ICTC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Yi Cheng Ren, Junichi Suzuki, Chonho Lee, Athanasios V. Vasilakos, Shingo Omura, Katsuya Oba Balancing performance, resource efficiency and energy efficiency for virtual machine deployment in DVFS-enabled clouds: an evolutionary game theoretic approach. Search on Bibsonomy GECCO (Companion) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Xuedi Chen, Kenli Li 0001, Chubo Liu, Keqin Li 0001 SLA-based energy aware scheduling of precedence-constrained applications on DVFS-enabled clusters. Search on Bibsonomy ICPADS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Gangyong Jia, Guangjie Han Combine dynamic time-slice scaling with DVFS for coordinating thermal and fairness on CPU. Search on Bibsonomy ComComAP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jurn-Gyu Park, Chen-Ying Hsieh, Nikil D. Dutt, Sung-Soo Lim Quality-aware mobile graphics workload characterization for energy-efficient DVFS design. Search on Bibsonomy ESTIMedia The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Zeynep Toprak Deniz, Michael A. Sperling, John F. Bulzacchelli, Gregory S. Still, Ryan Kruse, Seongwon Kim, David Boerstler, Tilman Gloekler, Raphael Robertazzi, Kevin Stawiasz, Tim Diemoz, George English, David Hui, Paul Muench, Joshua Friedrich 5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Satoshi Imamura, Hiroshi Sasaki 0001, Koji Inoue, Dimitrios S. Nikolopoulos Power-capped DVFS and thread allocation with ANN models on modern NUMA systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Aaron Carroll, Gernot Heiser Unifying DVFS and offlining in mobile multicores. Search on Bibsonomy RTAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Masaki Hamamoto, Masanao Yamaoka An energy-efficient parallel-processing method based on master-hibernating DVFS. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Cristinel Ababei, Nicholas Mastronarde Benefits and costs of prediction based DVFS for NoCs at router level. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Pei-Chen Wu, Yi-Ping Kuo, Chung-Shiang Wu, Ching-Te Chuang, Yuan-Hua Chu, Wei Hwang PVT-aware digital controlled voltage regulator design for ultra-low-power (ULP) DVFS systems. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mark Buckler, Wayne P. Burleson Predictive synchronization for DVFS-enabled multi-processor systems. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ching-Chi Lin, Chao-Jui Chang, You-Cheng Syu, Jan-Jan Wu, Pangfeng Liu, Po-Wen Cheng, Wei-Te Hsu An Energy-Efficient Task Scheduler for Multi-core Platforms with Per-core DVFS Based on Task Characteristics. Search on Bibsonomy ICPP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jun-Ho Seo, Guy Martin Tchamgoue, Kyong Hoon Kim Power-Aware Real-Time Virtual Machine Schedulers in Discrete DVFS Systems. Search on Bibsonomy DASC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Pietro Cicotti, Ananta Tiwari, Laura Carrington Efficient speed (ES): Adaptive DVFS and clock modulation for energy efficiency. Search on Bibsonomy CLUSTER The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Yeter Akgul, Diego Puschini, Suzanne Lesecq, Edith Beigné, Ivan Miro Panades, Pascal Benoit, Lionel Torres Power management through DVFS and dynamic body biasing in FD-SOI circuits. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Zhiquan Lai, Baokang Zhao, Jinshu Su Efficient DVFS to Prevent Hard Faults for Many-Core Architectures. Search on Bibsonomy ICT-EurAsia The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Zhuo Tang, Zhenzhen Cheng, Kenli Li 0001, Keqin Li 0001 An Efficient Energy Scheduling Algorithm for Workflow Tasks in Hybrids and DVFS-Enabled Cloud Environment. Search on Bibsonomy PAAP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Manoj Kumar Yadav DVFS using clock scheduling for Multicore Systems-on-Chip and Networks-on-Chip. Search on Bibsonomy 2014   RDF
17Jacob Murray, Teng Lu, Partha Pratim Pande, Behrooz A. Shirazi Sustainable DVFS-Enabled Multi-Core Architectures with On-Chip Wireless Links. Search on Bibsonomy Adv. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, John Sartori Enhancing the Efficiency of Energy-Constrained DVFS Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Marco Gerards, Jan Kuper Optimal DPM and DVFS for frame-based real-time systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Iraklis Anagnostopoulos, Jean-Michel Chabloz, Ioannis Koutras, Alexandros Bartzas, Ahmed Hemani, Dimitrios Soudris Power-aware dynamic memory management on many-core platforms utilizing DVFS. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Yu-Ming Chang, Pi-Cheng Hsiu, Yuan-Hao Chang 0001, Che-Wei Chang A resource-driven DVFS scheme for smart handheld devices. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Xi Chen, Zheng Xu 0006, Hyungjun Kim, Paul Gratz, Jiang Hu, Michael Kishinevsky, Ümit Y. Ogras In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Tom Guérout, Thierry Monteil 0001, Georges Da Costa, Rodrigo N. Calheiros, Rajkumar Buyya, Mihai Alexandru Energy-aware simulation with DVFS. Search on Bibsonomy Simul. Model. Pract. Theory The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Christine Mayap Kamga CPU frequency emulation based on DVFS. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Manoj Kumar Yadav, Mario R. Casu, Maurizio Zamboni LAURA-NoC: Local Automatic Rate Adjustment in Network-on-Chips With a Simple DVFS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Maurício Altieri, Warody Lombardi, Diego Puschini, Suzanne Lesecq Coupled voltage and frequency control for DVFS management. Search on Bibsonomy PATMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Yeter Akgul, Diego Puschini, Suzanne Lesecq, Edith Beigné, Pascal Benoit, Lionel Torres Methodology for Power Mode selection in FD-SOI circuits with DVFS and Dynamic Body Biasing. Search on Bibsonomy PATMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Dazhao Cheng, Yanfei Guo, Xiaobo Zhou 0002 Self-Tuning Batching with DVFS for Improving Performance and Energy Efficiency in Servers. Search on Bibsonomy MASCOTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Vasileios Spiliopoulos, Akash Bagdia, Andreas Hansson 0001, Peter Aldworth, Stefanos Kaxiras Introducing DVFS-Management in a Full-System Simulator. Search on Bibsonomy MASCOTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sylvain Durand, Suzanne Lesecq Asynchronous thermal-aware DVFS control. Search on Bibsonomy ACC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sylvain Durand, Suzanne Lesecq, Edith Beigné, Diego Puschini Event-based DVFS control in GALS-ANoC MPSoCs. Search on Bibsonomy ACC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sylvain Durand, Suzanne Lesecq Nonlinear and asymmetric thermal-aware DVFS control. Search on Bibsonomy ECC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Li Tan, Zizhong Chen, Ziliang Zong, Dong Li 0001, Rong Ge 0002 A2E: Adaptively aggressive energy efficient DVFS scheduling for data intensive applications. Search on Bibsonomy IPCCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license